The SCEAS System
Navigation Menu

Conferences in DBLP

(ddecs)
2009 (conf/ddecs/2009)


  1. Design tools and circuit solutions for degradation-resilient analog circuits in nanometer CMOS. [Citation Graph (, )][DBLP]


  2. Cognitive self-adaptive computing and communication systems: Test, control and adaptation. [Citation Graph (, )][DBLP]


  3. Challenges for test and design for test. [Citation Graph (, )][DBLP]


  4. An SOC platform for ADC test and measurement. [Citation Graph (, )][DBLP]


  5. A scheme of logic self repair including local interconnects. [Citation Graph (, )][DBLP]


  6. Investigating the linearity of MOSFET-only switched-capacitor DeltaSigma modulators under low-voltage condition. [Citation Graph (, )][DBLP]


  7. Comparison of different test strategies on a mixed-signal circuit. [Citation Graph (, )][DBLP]


  8. Case Study : A class E power amplifier for ISO-14443A. [Citation Graph (, )][DBLP]


  9. Fast congestion-aware timing-driven placement for island FPGA. [Citation Graph (, )][DBLP]


  10. Analysis and optimization of ring oscillator using sub-feedback scheme. [Citation Graph (, )][DBLP]


  11. Improve clock gating through power-optimal enable function selection. [Citation Graph (, )][DBLP]


  12. An utilisation of Boolean differential calculus in variables partition calculation for decomposition of logic functions. [Citation Graph (, )][DBLP]


  13. A fast untestability proof for SAT-based ATPG. [Citation Graph (, )][DBLP]


  14. The impact of EFSM composition on functional ATPG. [Citation Graph (, )][DBLP]


  15. An efficient fault simulation technique for transition faults in non-scan sequential circuits. [Citation Graph (, )][DBLP]


  16. Self-timed full adder designs based on hybrid input encoding. [Citation Graph (, )][DBLP]


  17. Optimization concepts for self-healing asynchronous circuits. [Citation Graph (, )][DBLP]


  18. Asynchronous two-level logic of reduced cost. [Citation Graph (, )][DBLP]


  19. Low-voltage low-power double bulk mixer for direct conversion receiver in 65nm CMOS. [Citation Graph (, )][DBLP]


  20. Low voltage LNA implementations in 90 nm CMOS technology for multistandard GNSS. [Citation Graph (, )][DBLP]


  21. BIST assisted wideband digital compensation for MB-UWB transmitters. [Citation Graph (, )][DBLP]


  22. Architecture model for approximate palindrome detection. [Citation Graph (, )][DBLP]


  23. Packet header analysis and field extraction for multigigabit networks. [Citation Graph (, )][DBLP]


  24. A symbolic RTL synthesis for LUT-based FPGAs. [Citation Graph (, )][DBLP]


  25. Physical design oriented DRAM Neighborhood Pattern Sensitive Fault testing. [Citation Graph (, )][DBLP]


  26. Using 3-valued memory representation for state space reduction in embedded assembly code model checking. [Citation Graph (, )][DBLP]


  27. An on-line testing scheme for repairing purposes in Flash memories. [Citation Graph (, )][DBLP]


  28. Power devices current monitoring using horizontal and vertical magnetic force sensor. [Citation Graph (, )][DBLP]


  29. Measurement of power supply noise tolerance of self-timed processor. [Citation Graph (, )][DBLP]


  30. Test scheme for switched-capacitor circuits by digital analyses. [Citation Graph (, )][DBLP]


  31. Structural test of programmed FPGA circuits. [Citation Graph (, )][DBLP]


  32. Low voltage precharge CMOS logic. [Citation Graph (, )][DBLP]


  33. MDCT / IMDCT low power implementations in 90 nm CMOS technology for MP3 audio. [Citation Graph (, )][DBLP]


  34. Effective mars rover platform design with Hardware / Software co-design. [Citation Graph (, )][DBLP]


  35. On the role of the power supply as an entry for common cause faults - An experimental analysis. [Citation Graph (, )][DBLP]


  36. An analysis of the timing behavior of CMOS digital blocks under Simultaneous Switching Noise conditions. [Citation Graph (, )][DBLP]


  37. Effective BIST for crosstalk faults in interconnects. [Citation Graph (, )][DBLP]


  38. MTPP - Modular Traffic Processing Platform. [Citation Graph (, )][DBLP]


  39. Simulation and planning method for on-chip power distribution - An industry perspective. [Citation Graph (, )][DBLP]


  40. Experience in Virtual Testing of RSD cyclic A/D converters. [Citation Graph (, )][DBLP]


  41. A 1GHz-GBW operational amplifier for DVB-H receivers in 65nm CMOS. [Citation Graph (, )][DBLP]


  42. 0.5V 160-MHz 260uW all digital phase-locked loop. [Citation Graph (, )][DBLP]


  43. 0.18 µm CMOS UWB LNA with new feedback configuration for optimization low noise, high gain and small area. [Citation Graph (, )][DBLP]


  44. Hardware solution of chaos based image encryption. [Citation Graph (, )][DBLP]


  45. Diagnosis of faulty units in regular graphs under the PMC model. [Citation Graph (, )][DBLP]


  46. All digital baseband 50 Mbps data recovery using 5× oversampling with 0.9 data unit interval clock jitter tolerance. [Citation Graph (, )][DBLP]


  47. Contactless characterization of MEMS devices using optical microscopy. [Citation Graph (, )][DBLP]


  48. A comprehensive approach for soft error tolerant Four State Logic. [Citation Graph (, )][DBLP]


  49. High-level symbolic simulation for automatic model extraction. [Citation Graph (, )][DBLP]


  50. Global parametric faults identification with the use of Differential Evolution. [Citation Graph (, )][DBLP]


  51. Forward and backward guarding in early output logic. [Citation Graph (, )][DBLP]


  52. Logic synthesis method for pattern matching circuits implementation in FPGA with embedded memories. [Citation Graph (, )][DBLP]


  53. Contention-avoiding custom topology generation for network-on-chip. [Citation Graph (, )][DBLP]


  54. Enhanced LEON3 core for superscalar processing. [Citation Graph (, )][DBLP]


  55. Ultra low-voltage switched current mirror. [Citation Graph (, )][DBLP]


  56. Self-timed thermal sensing and monitoring of multicore systems. [Citation Graph (, )][DBLP]


  57. A CMOS bio-impedance measurement system. [Citation Graph (, )][DBLP]


  58. An enhanced FPGA-based low-cost tester platform exploiting effective test data compression for SoCs. [Citation Graph (, )][DBLP]


  59. Comprehensive bridging fault diagnosis based on the SLAT paradigm. [Citation Graph (, )][DBLP]


  60. Round-level concurrent error detection applied to Advanced Encryption Standard. [Citation Graph (, )][DBLP]

NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002