The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Quality Electronic Design (isqed)
2009 (conf/isqed/2009)


  1. Small embeddable NBTI sensors (SENS) for tracking on-chip performance decay. [Citation Graph (, )][DBLP]


  2. A unified FinFET reliability model including high K gate stack dynamic threshold voltage, hot carrier injection, and negative bias temperature instability. [Citation Graph (, )][DBLP]


  3. NBTI-aware statistical circuit delay assessment. [Citation Graph (, )][DBLP]


  4. On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. [Citation Graph (, )][DBLP]


  5. Power & variability test chip architecture and 45nm-generation silicon-based analysis for robust, power-aware SoC design. [Citation Graph (, )][DBLP]


  6. On-chip dynamic worst-case crosstalk pattern detection and elimination for bus-based macro-cell designs. [Citation Graph (, )][DBLP]


  7. Worst case timing jitter and amplitude noise in differential signaling. [Citation Graph (, )][DBLP]


  8. A PVT aware accurate statistical logic library for high- metal-gate nano-CMOS. [Citation Graph (, )][DBLP]


  9. A general piece-wise nonlinear library modeling format and size reduction technique for gate-level timing, SI, power, and variation analysis. [Citation Graph (, )][DBLP]


  10. Leakage optimization using transistor-level dual threshold voltage cell library. [Citation Graph (, )][DBLP]


  11. Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution. [Citation Graph (, )][DBLP]


  12. Characterization of sequential cells for constraint sensitivities. [Citation Graph (, )][DBLP]


  13. PETE: A device/circuit analysis framework for evaluation and comparison of charge based emerging devices. [Citation Graph (, )][DBLP]


  14. Architecture design exploration of three-dimensional (3D) integrated DRAM. [Citation Graph (, )][DBLP]


  15. Accurate buffer modeling with slew propagation in subthreshold circuits. [Citation Graph (, )][DBLP]


  16. Robust differential asynchronous nanoelectronic circuits. [Citation Graph (, )][DBLP]


  17. An enhanced topology for reliability of a high performance 3.3V I/O buffer in a single-well bulk CMOS 1.8v-oxide low voltage process. [Citation Graph (, )][DBLP]


  18. The design of a low-power high-speed current comparator in 0.35-m CMOS technology. [Citation Graph (, )][DBLP]


  19. Comparison of supply noise and substrate noise reduction in SiGe BiCMOS and FDSOI processes. [Citation Graph (, )][DBLP]


  20. An effective staggered-phase damping technique for suppressing power-gating resonance noise during mode transition. [Citation Graph (, )][DBLP]


  21. Design and application of multimodal power gating structures. [Citation Graph (, )][DBLP]


  22. Revisiting the linear programming framework for leakage power vs. performance optimization. [Citation Graph (, )][DBLP]


  23. Parameter tuning in SVM-based power macro-modeling. [Citation Graph (, )][DBLP]


  24. Performance-energy tradeoffs in reliable NoCs. [Citation Graph (, )][DBLP]


  25. 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs. [Citation Graph (, )][DBLP]


  26. Control of design specific variation in etch-assisted via pattern transfer by means of full-chip simulation. [Citation Graph (, )][DBLP]


  27. New subthreshold concepts in 65nm CMOS technology. [Citation Graph (, )][DBLP]


  28. On-chip transistor characterization arrays with digital interfaces for variability characterization. [Citation Graph (, )][DBLP]


  29. Variability-aware optimization of nano-CMOS Active Pixel Sensors using design and analysis of Monte Carlo experiments. [Citation Graph (, )][DBLP]


  30. Yield evaluation of analog placement with arbitrary capacitor ratio. [Citation Graph (, )][DBLP]


  31. Analysis of performance and reliability trade-off in dummy pattern design for 32-nm technology. [Citation Graph (, )][DBLP]


  32. Statistical yield analysis of silicon-on-insulator embedded DRAM. [Citation Graph (, )][DBLP]


  33. Erect of regularity-enhanced layout on printability and circuit performance of standard cells. [Citation Graph (, )][DBLP]


  34. Trading off higher execution latency for increased reliability in tile-based massive multi-core architectures. [Citation Graph (, )][DBLP]


  35. A Simulation-based strategy used in electrical design for reliability. [Citation Graph (, )][DBLP]


  36. Estimation and optimization of reliability of noisy digital circuits. [Citation Graph (, )][DBLP]


  37. Combinational logic SER estimation with the presence of re-convergence. [Citation Graph (, )][DBLP]


  38. Effect of NDD dosage on hot-carrier reliability in DMOS transistors. [Citation Graph (, )][DBLP]


  39. Side channel aware leakage management in nanoscale Cryptosystem-on-Chip (CoC). [Citation Graph (, )][DBLP]


  40. An effective approach to detect logic soft errors in digital circuits based on GRAAL. [Citation Graph (, )][DBLP]


  41. An efficient approach to sip design integration. [Citation Graph (, )][DBLP]


  42. A new low power test pattern generator using a variable-length ring counter. [Citation Graph (, )][DBLP]


  43. A case study on logic diagnosis for System-on-Chip. [Citation Graph (, )][DBLP]


  44. Proactive management of X's in scan chains for compression. [Citation Graph (, )][DBLP]


  45. A Built-in self-calibration scheme for pipelined ADCs. [Citation Graph (, )][DBLP]


  46. A geometric approach to register transfer level satisfiability. [Citation Graph (, )][DBLP]


  47. Efficient diagnosis algorithms for drowsy SRAMs. [Citation Graph (, )][DBLP]


  48. Incremental power optimization for multiple supply voltage design. [Citation Graph (, )][DBLP]


  49. IP protection platform based on watermarking technique. [Citation Graph (, )][DBLP]


  50. Statistical static performance analysis of asynchronous circuits considering process variation. [Citation Graph (, )][DBLP]


  51. A software pipelining algorithm in high-level synthesis for FPGA architectures. [Citation Graph (, )][DBLP]


  52. Phenomenological model for gate length bias dependent inverter delay change with emphasis on library characterization. [Citation Graph (, )][DBLP]


  53. Statistical decoupling capacitance allocation by efficient numerical quadrature method. [Citation Graph (, )][DBLP]


  54. A novel ACO-based pattern generation for peak power estimation in VLSI circuits. [Citation Graph (, )][DBLP]


  55. Switch level optimization of digital CMOS gate networks. [Citation Graph (, )][DBLP]


  56. hArtes design flow for heterogeneous platforms. [Citation Graph (, )][DBLP]


  57. An efficient reliability evaluation approach for system-level design of embedded systems. [Citation Graph (, )][DBLP]


  58. A case study on system-level modeling by aspect-oriented programming. [Citation Graph (, )][DBLP]


  59. Performance evaluation of wireless networks on chip architectures. [Citation Graph (, )][DBLP]


  60. Validating physical access layer of WiMAX using SystemVerilog. [Citation Graph (, )][DBLP]


  61. Accelerating jitter tolerance qualification for high speed serial interfaces. [Citation Graph (, )][DBLP]


  62. Improving the accuracy of rule-based equivalence checking of system-level design descriptions by identifying potential internal equivalences. [Citation Graph (, )][DBLP]


  63. Efficient SAT-based techniques for Design of Experiments by using static variable ordering. [Citation Graph (, )][DBLP]


  64. An abstraction mechanism to maximize stimulus portability across RTL, FPGA, software models and silicon of SoCs. [Citation Graph (, )][DBLP]


  65. Timing yield estimation of digital circuits using a control variate technique. [Citation Graph (, )][DBLP]


  66. A unified gate sizing formulation for optimizing soft error rate, cross-talk noise and power under process variations. [Citation Graph (, )][DBLP]


  67. TuneLogic: Post-silicon tuning of dual-Vdd designs. [Citation Graph (, )][DBLP]


  68. A case for exploiting complex arithmetic circuits towards performance yield enhancement. [Citation Graph (, )][DBLP]


  69. A systematic approach to modeling and analysis of transient faults in logic circuits. [Citation Graph (, )][DBLP]


  70. ESD event simulation automation using automatic extraction of the relevant portion of a full chip. [Citation Graph (, )][DBLP]


  71. Parametric analysis to determine accurate interconnect extraction corners for design performance. [Citation Graph (, )][DBLP]


  72. Exploratory study on circuit and architecture design of very high density diode-switch phase change memories. [Citation Graph (, )][DBLP]


  73. Adaptive voltage controlled nanoelectronic addressing for yield, accuracy and resolution. [Citation Graph (, )][DBLP]


  74. Defect characterization in magnetic field coupled arrays. [Citation Graph (, )][DBLP]


  75. CAD utilities to comprehend layout-dependent stress effects in 45 nm high- performance SOI custom macro design. [Citation Graph (, )][DBLP]


  76. A 1.2 volt, 90nm, 16-bit three way segmented digital to analog converter (DAC) for low power applications. [Citation Graph (, )][DBLP]


  77. Design methodology of high performance on-chip global interconnect using terminated transmission-line. [Citation Graph (, )][DBLP]


  78. New word-line driving scheme for suppressing oxide-tunneling leakage in sub-65-nm SRAMs. [Citation Graph (, )][DBLP]


  79. Adaptive leakage control on body biasing for reducing power consumption in CMOS VLSI circuit. [Citation Graph (, )][DBLP]


  80. Standby power reduction and SRAM cell optimization for 65nm technology. [Citation Graph (, )][DBLP]


  81. Optimization strategies to improve statistical timing. [Citation Graph (, )][DBLP]


  82. Clock gating effectiveness metrics: Applications to power optimization. [Citation Graph (, )][DBLP]


  83. Buffer/flip-flop block planning for power-integrity-driven floorplanning. [Citation Graph (, )][DBLP]


  84. On temperature planarization effect of copper dummy fills in deep nanometer technology. [Citation Graph (, )][DBLP]


  85. Fast characterization of parameterized cell library. [Citation Graph (, )][DBLP]


  86. Cell shifting aware of wirelength and overlap. [Citation Graph (, )][DBLP]


  87. Lagrangian relaxation based register placement for high-performance circuits. [Citation Graph (, )][DBLP]


  88. Implementation of power managed hyper transport system for transmission of HD video. [Citation Graph (, )][DBLP]


  89. Power aware placement for FPGAs with dual supply voltages. [Citation Graph (, )][DBLP]


  90. VLSI architectures of perceptual based video watermarking for real-time copyright protection. [Citation Graph (, )][DBLP]


  91. VeriC: A semi-hardware description language to bridge the gap between ESL design and RTL models. [Citation Graph (, )][DBLP]


  92. Power estimation methodology for a high-level synthesis framework. [Citation Graph (, )][DBLP]


  93. Variability aware modeling of SoCs: From device variations to manufactured system yield. [Citation Graph (, )][DBLP]


  94. Kriging Model combined with latin hypercube sampling for surrogate modeling of analog integrated circuit performance. [Citation Graph (, )][DBLP]


  95. Retrospective on electronics technology and prospective methods for co-design of IC packaging and manufacturing improvements. [Citation Graph (, )][DBLP]


  96. 50GB/s signaling on organic substrates using PMTL technology. [Citation Graph (, )][DBLP]


  97. Die/wafer stacking with reciprocal design symmetry (RDS) for mask reuse in three-dimensional (3D) integration technology. [Citation Graph (, )][DBLP]


  98. Parallel flow to analyze the impact of the voltage regulator model in nanoscale power distribution network. [Citation Graph (, )][DBLP]


  99. An analytic model for Ge/Si core/shell nanowire MOSFETs considering drift-diffusion and ballistic transport. [Citation Graph (, )][DBLP]


  100. Zero clock skew synchronization with rotary clocking technology. [Citation Graph (, )][DBLP]


  101. Place and route considerations for voltage interpolated designs. [Citation Graph (, )][DBLP]


  102. Crosstalk pessimism reduction with path base analysis. [Citation Graph (, )][DBLP]


  103. The impact of BEOL lithography effects on the SRAM cell performance and yield. [Citation Graph (, )][DBLP]


  104. Process variation impact on FPGA configuration memory. [Citation Graph (, )][DBLP]


  105. Efficient statistical analysis of read timing failures in SRAM circuits. [Citation Graph (, )][DBLP]


  106. Increasing memory yield in future technologies through innovative design. [Citation Graph (, )][DBLP]


  107. An efficient current-based logic cell model for crosstalk delay analysis. [Citation Graph (, )][DBLP]


  108. An application-specific adjoint sensitivity analysis framework for clock mesh sensitivity computation. [Citation Graph (, )][DBLP]


  109. Early clock prototyping for design analysis and quality entitlement. [Citation Graph (, )][DBLP]


  110. Automatic register banking for low-power clock trees. [Citation Graph (, )][DBLP]


  111. A study of decoupling capacitor effectiveness in power and ground grid networks. [Citation Graph (, )][DBLP]


  112. A 0.56-V 128kb 10T SRAM using column line assist (CLA) scheme. [Citation Graph (, )][DBLP]


  113. Design and implementation of a sub-threshold BFSK transmitter. [Citation Graph (, )][DBLP]


  114. A universal level converter towards the realization of energy efficient implantable drug delivery Nano-Electro-Mechanical-Systems. [Citation Graph (, )][DBLP]


  115. Temperature effects on energy optimization in sub-threshold circuit design. [Citation Graph (, )][DBLP]


  116. Charge recovery logic as a side channel attack countermeasure. [Citation Graph (, )][DBLP]


  117. Impact of SoC power management techniques on verification and testing. [Citation Graph (, )][DBLP]


  118. A study on impact of loading effect on capacitive crosstalk noise. [Citation Graph (, )][DBLP]


  119. Simultaneous test pattern compaction, ordering and X-filling for testing power reduction. [Citation Graph (, )][DBLP]


  120. Markov source based test length optimized SCAN-BIST architecture. [Citation Graph (, )][DBLP]


  121. Calculation of stress probability for NBTI-aware timing analysis. [Citation Graph (, )][DBLP]


  122. Derating for static timing analysis: Theory and practice. [Citation Graph (, )][DBLP]


  123. An information theoretic framework to compute the MAX/MIN operations in parameterized statistical timing analysis. [Citation Graph (, )][DBLP]


  124. A generalized V-shaped multilevel method for large scale floorplanning. [Citation Graph (, )][DBLP]


  125. Simultaneous buffer and interlayer via planning for 3D floorplanning. [Citation Graph (, )][DBLP]


  126. IR-drop management CAD techniques in FPGAs for power grid reliability. [Citation Graph (, )][DBLP]


  127. Functionally valid gate-level peak power estimation for processors. [Citation Graph (, )][DBLP]


  128. On-chip DC-DC converters for three-dimensional ICs. [Citation Graph (, )][DBLP]


  129. Active decap design considerations for optimal supply noise reduction. [Citation Graph (, )][DBLP]


  130. Efficient power network analysis with complete inductive modeling. [Citation Graph (, )][DBLP]


  131. Parallel partitioning based on-chip power distribution network analysis using locality acceleration. [Citation Graph (, )][DBLP]


  132. SRAM supply voltage scaling: A reliability perspective. [Citation Graph (, )][DBLP]


  133. Low power adaptive pipeline based on instruction isolation. [Citation Graph (, )][DBLP]


  134. Post-Silicon Clock-nvert (PSCI) for reducing process-variation induced skew in buffered clock networks. [Citation Graph (, )][DBLP]


  135. Variation-tolerant hierarchical voltage monitoring circuit for soft error detection. [Citation Graph (, )][DBLP]


  136. SEU hardened clock regeneration circuits. [Citation Graph (, )][DBLP]


  137. PVT variation impact on voltage island formation in MPSoC design. [Citation Graph (, )][DBLP]


  138. Uncriticality-directed scheduling for tackling variation and power challenges. [Citation Graph (, )][DBLP]


  139. Design of energy-efficient channel buffers with router bypassing for network-on-chips (NoCs). [Citation Graph (, )][DBLP]


  140. NBTI aware workload balancing in multi-core systems. [Citation Graph (, )][DBLP]


  141. Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. [Citation Graph (, )][DBLP]

NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002