The SCEAS System
Navigation Menu

Conferences in DBLP

(socc)
2008 (conf/socc/2008)


  1. "SOC challenges in the terabit networks era". [Citation Graph (, )][DBLP]


  2. "Future trends in PC computing and their implications to SoC". [Citation Graph (, )][DBLP]


  3. "Surfing the iSoC multitechnology platform: Volumetric growth beyond Moore's law". [Citation Graph (, )][DBLP]


  4. Energy-optimal signaling and ordering of bits for area-constrained interconnects. [Citation Graph (, )][DBLP]


  5. A unified power measurement and management platform for pipelined MPSoC executions. [Citation Graph (, )][DBLP]


  6. Partitioned reuse cache for energy-efficient soft-error protection of functional units. [Citation Graph (, )][DBLP]


  7. The role of interconnects in the performance scalability of multicore architectures. [Citation Graph (, )][DBLP]


  8. ILP-based scheme for timing variation-aware scheduling and resource binding. [Citation Graph (, )][DBLP]


  9. Exploiting loop-level parallelism on multi-core architectures for the wimax physical layer. [Citation Graph (, )][DBLP]


  10. Extensible software emulator for reconfigurable instruction cell based processors. [Citation Graph (, )][DBLP]


  11. MRPSIM: A TLM based simulation tool for MPSOCS targeting dynamically reconfigurable processors. [Citation Graph (, )][DBLP]


  12. Pseudo-random clocking to enhance signal integrity. [Citation Graph (, )][DBLP]


  13. Nanoscale on-chip decoupling capacitors. [Citation Graph (, )][DBLP]


  14. Built-in functional tests for fast validation of a 40Gbps coherent optical receiver SoC ASIC. [Citation Graph (, )][DBLP]


  15. A multi-wire error correction scheme for reliable and energy efficient SOC links using hamming product codes. [Citation Graph (, )][DBLP]


  16. Fluidity concept for NoC: A congestion avoidance and relief routing scheme. [Citation Graph (, )][DBLP]


  17. Configurable error correction for multi-wire errors in switch-to-switch SOC links. [Citation Graph (, )][DBLP]


  18. Guaranteeing QoS with the pipelined multi-channel central caching NoC communication architecture. [Citation Graph (, )][DBLP]


  19. Energy minimization using a greedy randomized heuristic for the voltage assignment problem in NoC. [Citation Graph (, )][DBLP]


  20. Composability in the time-triggered system-on-chip architecture. [Citation Graph (, )][DBLP]


  21. A systematic approach to synthesis of verification test-suites for modular SoC designs. [Citation Graph (, )][DBLP]


  22. A 300-mV 36-muW multiphase dual digital clock output generator with self-calibration. [Citation Graph (, )][DBLP]


  23. A resistance deviation-to-time interval converter for resistive sensors. [Citation Graph (, )][DBLP]


  24. Design methodolgy for HD Photo compression algorithm targeting a FPGA. [Citation Graph (, )][DBLP]


  25. Design of low flicker noise active CMOS mixer. [Citation Graph (, )][DBLP]


  26. 65NM sub-threshold 11T-SRAM for ultra low voltage applications. [Citation Graph (, )][DBLP]


  27. Evaluation of contrast limited adaptive histogram equalization (CLAHE) enhancement on a FPGA. [Citation Graph (, )][DBLP]


  28. Novel start-up circuit with enhanced power-up characteristic for bandgap references. [Citation Graph (, )][DBLP]


  29. Unification of obstacle-avoiding rectilinear Steiner tree construction. [Citation Graph (, )][DBLP]


  30. Analysis of retention time under multi-configuration on a DORGA. [Citation Graph (, )][DBLP]


  31. Performance evaluation of a FFT using adpative clocking. [Citation Graph (, )][DBLP]


  32. A comparator-based switched-capacitor integrator using a new charge control circuit. [Citation Graph (, )][DBLP]


  33. Area efficient delay-insensitive and differential current sensing on-chip interconnect. [Citation Graph (, )][DBLP]


  34. Temperature measurement in Content Addressable Memory cells using bias-controlled VCO. [Citation Graph (, )][DBLP]


  35. A coarse-grained Dynamically Reconfigurable MAC Processor for power-sensitive multi-standard devices. [Citation Graph (, )][DBLP]


  36. A multi-mode sphere detector architecture for WLAN applications. [Citation Graph (, )][DBLP]


  37. Slack redistribution in pipelined circuits for enhanced soft-error rate reduction. [Citation Graph (, )][DBLP]


  38. Application development flow for on-chip distributed architectures. [Citation Graph (, )][DBLP]


  39. A novel 0.6V CMOS folded Gilbert-cell mixer for UWB applications. [Citation Graph (, )][DBLP]


  40. A robust ultra-low power asynchronous FIFO memory with self-adaptive power control. [Citation Graph (, )][DBLP]


  41. A low power and low area active clock deskewing technique for sub-90nm technologies. [Citation Graph (, )][DBLP]


  42. A low power 32 nanometer CMOS digitally controlled oscillator. [Citation Graph (, )][DBLP]


  43. Pseudo parallel architecture for AES with error correction. [Citation Graph (, )][DBLP]


  44. Implementing high definition video codec on TI DM6467 SOC. [Citation Graph (, )][DBLP]


  45. A novel 5.46 mW H.264/AVC video stream parser IC. [Citation Graph (, )][DBLP]


  46. A low-power design of quantization for H.264 video coding standard. [Citation Graph (, )][DBLP]


  47. Speed control for a hardware based H.264/AVC encoder. [Citation Graph (, )][DBLP]


  48. Power optimization for FinFET-based circuits using genetic algorithms. [Citation Graph (, )][DBLP]


  49. In-situ self-aware adaptive power control system with multi-mode power gating network. [Citation Graph (, )][DBLP]


  50. Supply voltage selection in Voltage Island based SoC design. [Citation Graph (, )][DBLP]


  51. A multi-standard micro-programmable deblocking filter architecture and its application to VC-1 video decoder. [Citation Graph (, )][DBLP]


  52. Multi-standard sub-pixel interpolation architecture for video Motion Estimation. [Citation Graph (, )][DBLP]


  53. An efficient lossless embedded compression engine using compacted-FELICS algorithm. [Citation Graph (, )][DBLP]


  54. Low-power floating bitline 8-T SRAM design with write assistant circuits. [Citation Graph (, )][DBLP]


  55. A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies. [Citation Graph (, )][DBLP]


  56. Low power 8T SRAM using 32nm independent gate FinFET technology. [Citation Graph (, )][DBLP]


  57. Failure analysis for ultra low power nano-CMOS SRAM under process variations. [Citation Graph (, )][DBLP]


  58. 1.5V 0.5mW 2MSPS 10B DAC with rail-to-rail output in 0.13mum CMOS technology. [Citation Graph (, )][DBLP]


  59. Statistical averaging based linearity optimization for resistor string DAC architectures in nanoscale processes. [Citation Graph (, )][DBLP]


  60. A higher-order mismatch-shaping method for multi-bit Sigma-Delta Modulators. [Citation Graph (, )][DBLP]


  61. A low power sub-1 V CMOS voltage reference. [Citation Graph (, )][DBLP]


  62. Design space exploration for application specific FPGAS in system-on-a-chip designs. [Citation Graph (, )][DBLP]


  63. A framework of architectural synthesis for dynamically reconfigurable FPGAs. [Citation Graph (, )][DBLP]


  64. Reconfiguralbe multimedia accelerator for mobile systems. [Citation Graph (, )][DBLP]


  65. Energy consumption reduction mechanism by tuning cache configuration usign NIOS II processor. [Citation Graph (, )][DBLP]


  66. VLSI passive switched capacitor signal processing circuits: Circuit architecture, closed form modeling and applications. [Citation Graph (, )][DBLP]


  67. A novel CMOS exponential approximation circuit. [Citation Graph (, )][DBLP]


  68. 3-D Heterogeneous SoC for detecting and filtering infected biological cells. [Citation Graph (, )][DBLP]


  69. Novel mixed domain VLSI signal processing circuits for high performance, low power and area penalty SOC signal processing. [Citation Graph (, )][DBLP]


  70. Design of a baseband processor for software radio using FPGAs. [Citation Graph (, )][DBLP]


  71. OFDM symbol timing synchronization system on a Reconfigurable Instruction Cell Array. [Citation Graph (, )][DBLP]


  72. Reconfigurable flash A/D converters. [Citation Graph (, )][DBLP]


  73. Programmable all-digital adaptive deskewing and phase shifting. [Citation Graph (, )][DBLP]


  74. A 6-Gbit/s SATA spread-spectrum clock generator using two-stage delta-sigma modulator. [Citation Graph (, )][DBLP]


  75. A spread spectrum clock generator using digital modulation scheme. [Citation Graph (, )][DBLP]


  76. All digital time-to-digital converter using single delay-locked loop. [Citation Graph (, )][DBLP]


  77. New low voltage, high PSRR, CMOS bandgap voltage reference. [Citation Graph (, )][DBLP]


  78. A timing methodology considering within-die clock skew variations. [Citation Graph (, )][DBLP]


  79. X-clock routing based on pattern matching. [Citation Graph (, )][DBLP]


  80. An automated design method for chip power distribution. [Citation Graph (, )][DBLP]


  81. A low-power 1-Gbps reconfigurable LDPC decoder design for multiple 4G wireless standards. [Citation Graph (, )][DBLP]


  82. High performance IP lookup circuit using DDR SDRAM. [Citation Graph (, )][DBLP]


  83. Power/throughput/area efficient PIM-based reconfigurable array for parallel processing. [Citation Graph (, )][DBLP]


  84. A Discrepancy-Computationless RiBM algorithm and its architecture for BCH decoders. [Citation Graph (, )][DBLP]


  85. Design and verification of complex SoC with configurable, extensible processors. [Citation Graph (, )][DBLP]


  86. A new generation of C-base synthesis tool and domain-specific computing. [Citation Graph (, )][DBLP]


  87. Low power design under parameter variations. [Citation Graph (, )][DBLP]


  88. Real-time implementation of H.264 Video Coding. [Citation Graph (, )][DBLP]


  89. Asynchronous circuit design using Handshake Solutions. [Citation Graph (, )][DBLP]

NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002