The SCEAS System
Navigation Menu

Conferences in DBLP

(sips)
2006 (conf/sips/2006)


  1. Molecular quantum-dot cellular automata. [Citation Graph (, )][DBLP]


  2. Signal Processing Based Implantable Microsystems for Intracortical Therapeutic Purposes. [Citation Graph (, )][DBLP]


  3. Design of high performance timing recovery loops for communication applications. [Citation Graph (, )][DBLP]


  4. Automating the Verification of SDR Base band Signal Processing Algorithms Developed on DSP/FPGA Platform. [Citation Graph (, )][DBLP]


  5. Configuration and Representation of Large-Scale Dataflow Graphs using the Dataflow Interchange Format. [Citation Graph (, )][DBLP]


  6. Exploit Multiple-Domain Sparseness for HSDPA Chip Level Equalization in SDR: Algorithm and DSP Implementation. [Citation Graph (, )][DBLP]


  7. Design and Implementation of Turbo Decoders for Software Defined Radio. [Citation Graph (, )][DBLP]


  8. Low Complexity List Updating Circuits for List Sphere Decoders. [Citation Graph (, )][DBLP]


  9. Performance Analysis of a New Transmission Scheme for Multi-Relay Channels. [Citation Graph (, )][DBLP]


  10. A Reconfigurable SOS-based Rayleigh Fading Channel Simulator. [Citation Graph (, )][DBLP]


  11. Low complexity iterative joint detection, decoding, and channel estimation for wireless MIMO system. [Citation Graph (, )][DBLP]


  12. Maximum Likelihood Estimation of Carrier Frequency Offset in Correlated MIMO OFDM Systems. [Citation Graph (, )][DBLP]


  13. Reduced-Complexity Pipelined Architectures for Finite Field Inversions. [Citation Graph (, )][DBLP]


  14. Rapid IP Design of Variable-length Cached-FFT Processor for OFDM-based Communication Systems. [Citation Graph (, )][DBLP]


  15. A Structural Study and Hyperedge Clustering Technique for Large Scale Circuits. [Citation Graph (, )][DBLP]


  16. Performance Evaluation of an SIMD Architecture with a Multi-bank Vector Memory Unit. [Citation Graph (, )][DBLP]


  17. Digit-Serial Systolic Architectures for Inversions over GF(2m). [Citation Graph (, )][DBLP]


  18. A Reduced-Complexity, Scalable Implementation of Low Density Parity Check (LDPC) Decoder. [Citation Graph (, )][DBLP]


  19. A New Early Termination Scheme of Iterative Turbo Decoding Using Decoding Threshold. [Citation Graph (, )][DBLP]


  20. Pipelined ALU for Signal Processing to Implement Interval Arithmetic. [Citation Graph (, )][DBLP]


  21. H.264 Video Encoder Implementation on a Low-power DSP with Low and Stable Computational Complexity. [Citation Graph (, )][DBLP]


  22. H.264 Video Decoder Design: Beyond RTL Design Implementation. [Citation Graph (, )][DBLP]


  23. An Iterative Method for Frame-Level Adaptive Wiener Interpolation Filters in Video Coding. [Citation Graph (, )][DBLP]


  24. A Theoretical Model and Study of Weighted MCTF Residual Energy. [Citation Graph (, )][DBLP]


  25. VSIP : Video Specific Instruction Set Processor for H.264/AVC. [Citation Graph (, )][DBLP]


  26. Motion Compensated Frame Rate Conversion Using a Specialized Instruction Set Processor. [Citation Graph (, )][DBLP]


  27. High-Speed Pipelined EGG Processor on FPGA. [Citation Graph (, )][DBLP]


  28. A Reconfigurable Applcation Specific Instruction Set Processor for Viterbi and Log-MAP Decoding. [Citation Graph (, )][DBLP]


  29. Lossless Compression Using Two-Level and Multilevel Boolean Minimization. [Citation Graph (, )][DBLP]


  30. Limiting Flexibility in Multiplication over GF(2m): A Design Methodology. [Citation Graph (, )][DBLP]


  31. 0.35 m 22W Multiphase Programmable Clock Generator for Circular Memory SC FIR Filter For Wireless Sensor Applications. [Citation Graph (, )][DBLP]


  32. Semi-Blind Channel Estimation for OFDM using Least Squares. [Citation Graph (, )][DBLP]


  33. A Robust Band-Tracking Packet Detector (BT-PD) in OFDM-Based Ultra-Wideband Systems. [Citation Graph (, )][DBLP]


  34. A Low Cost Packet Detector in OFDM-Based Ultra-Wideband Systems. [Citation Graph (, )][DBLP]


  35. EM-based Channel Estimation for Space Time Block Coded MIMO OFDM Systems. [Citation Graph (, )][DBLP]


  36. FPGA Implementation of Pipelined Architecture for Optical Imaging Distortion Correction. [Citation Graph (, )][DBLP]


  37. A Low-Power Folded Programmable FIR Architecture. [Citation Graph (, )][DBLP]


  38. An Examination of the Effect of Feature Size Scaling on Effective Power Consumption in Analog to Digital Converters. [Citation Graph (, )][DBLP]


  39. Low-Power Saturated Arithmetic and its Application in VLSI Architectures for OFDM Modems. [Citation Graph (, )][DBLP]


  40. Low Power Trellis Decoder with Overscaled Supply Voltage. [Citation Graph (, )][DBLP]


  41. Simplified Criteria for Early Iterative Decoding Termination. [Citation Graph (, )][DBLP]


  42. Fixed-to-Variable Length Source Coding Using Turbo Codes. [Citation Graph (, )][DBLP]


  43. Partly Parallel Overlapped Sum-Product Decoder Architectures for Quasi-Cyclic LDPC Codes. [Citation Graph (, )][DBLP]


  44. On the Effects of Colored Noise on the Performance of LDPC Codes. [Citation Graph (, )][DBLP]


  45. An Optimal Adaptive M-PSK Carrier Phase Detector Suitable for Fixed-Point Hardware Implementation within FPGAs and ASICs. [Citation Graph (, )][DBLP]


  46. Automated derivation of NoC Communication Specifications from Application Constraints. [Citation Graph (, )][DBLP]


  47. Design Space Exploration of DSP Applications Based on Behavioral Description Models. [Citation Graph (, )][DBLP]


  48. Evaluating SoC Network Performance in MPEG-4 Encoder. [Citation Graph (, )][DBLP]


  49. Transparent Embedded Compression in Systems-on-Chip. [Citation Graph (, )][DBLP]


  50. Low Complexity Implementations of Sum-Product Algorithm for Decoding Low-Density Parity-Check Codes. [Citation Graph (, )][DBLP]


  51. Spatial Optical Distortion Correction in an FPGA. [Citation Graph (, )][DBLP]


  52. Automated Architectural Exploration for Signal Processing Algorithms. [Citation Graph (, )][DBLP]


  53. Bit-Width Optimizations for High-Level Synthesis of Digital Signal Processing Systems. [Citation Graph (, )][DBLP]


  54. Automatic Generation of Programmable Parallel CRC & Scrambler Designs. [Citation Graph (, )][DBLP]


  55. Instruction Transfer And Storage Exploration for Low Energy VLIWs. [Citation Graph (, )][DBLP]


  56. Fault Tolerance of Quantized Unitary Precoders. [Citation Graph (, )][DBLP]


  57. Low-Power High-Throughput BCH Error Correction VLSI Design for Multi-Level Cell NAND Flash Memories. [Citation Graph (, )][DBLP]


  58. A Shortened Impulse Response Filter (SIRF) Scheme for Cost-Effective Echo Canceller Design of 10GBase-T Ethernet System. [Citation Graph (, )][DBLP]


  59. On the Convergence of the Frequency-Domain LMS Adaptive Filter using LMS-DFT. [Citation Graph (, )][DBLP]


  60. FPGA Implementation of Adaptive Filters based on GSFAP using Log Arithmetic. [Citation Graph (, )][DBLP]


  61. SystemC Model of an Interoperative GPS/Galileo Code Correlator Channel. [Citation Graph (, )][DBLP]


  62. Desing and Optimization of a Programmable Instruction Decoder for DSP Architecture. [Citation Graph (, )][DBLP]


  63. Carry Prediction and Selection for Truncated Multiplication. [Citation Graph (, )][DBLP]


  64. Carry Estimation for Two's Complement Fixed-Width Multipliers. [Citation Graph (, )][DBLP]


  65. Subword-Parallel VLIW Architecture Exploration for Multimode Software Defined Radio. [Citation Graph (, )][DBLP]


  66. On The Identification of Snow Movements on Roads. [Citation Graph (, )][DBLP]


  67. Software-Controlled Scratchpad Mapping Strategies for Wavelet-Based Applications. [Citation Graph (, )][DBLP]


  68. An Area Efficient Real-time CAVLC IP-Block towards the H.264/AVC Encoder. [Citation Graph (, )][DBLP]


  69. A Method for Object Tracking using Shape Matching. [Citation Graph (, )][DBLP]


  70. Efficient Memory Reuse and Sub-Pixel Interpolation Algorithms for ME/MC of H.264/AVC. [Citation Graph (, )][DBLP]


  71. An Efficient Data Reuse Motion Estimation Engine. [Citation Graph (, )][DBLP]


  72. A VLSI 8×8 MIMO Near-ML Decoder Engine. [Citation Graph (, )][DBLP]


  73. An Energy-Efficient Reconfigurable Baseband Processor for Flexible Radios. [Citation Graph (, )][DBLP]


  74. SmartMIMO: Energy-Aware Adaptive MIMO-OFDM Radio Link Control for Wireless Local Area Networks. [Citation Graph (, )][DBLP]


  75. Architecture-Aware LDPC Code Design for Software Defined Radio. [Citation Graph (, )][DBLP]


  76. Adaptive Tap Management in Multi-Gigabit Echo & Next Cancellers. [Citation Graph (, )][DBLP]


  77. Analog-Counter-Based Conscience Mechanism in Kohonen's Neural Network Implemented in CMOS 0.18 m Technology. [Citation Graph (, )][DBLP]


  78. On-Line MSR-CORDIC VLSI Architecture with Applications to Cost-Efficient Rotation-Based Adaptive Filtering Systems. [Citation Graph (, )][DBLP]


  79. Design and Implementation of JPEG 2000 Codec with Bit-Plane Scalable Architecture. [Citation Graph (, )][DBLP]


  80. A Low-Complexity PTS-based PAPR Reduction Technique for OFDM Signals without Transmission of Side Information. [Citation Graph (, )][DBLP]

NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002