The SCEAS System
Navigation Menu

Conferences in DBLP

Design Automation Conference (DAC) (dac)
1998 (conf/dac/98)

  1. Thomas Pennino
    Customers, Vendors, and Universities: Determining the Future of EDA Together (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:1- [Conf]
  2. Michael Kishinevsky, Jordi Cortadella, Alex Kondratyev
    Asynchronous Interface Specification, Analysis and Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:2-7 [Conf]
  3. Roberto Passerone, James A. Rowson, Alberto L. Sangiovanni-Vincentelli
    Automatic Synthesis of Interfaces Between Incompatible Protocols. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:8-13 [Conf]
  4. James Smith, Giovanni De Micheli
    Automated Composition of Hardware Components. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:14-19 [Conf]
  5. Mike Chou, Jacob White
    Multilevel Integral Equation Methods for the Extraction of Substrate Coupling Parameters in Mixed-Signal IC's. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:20-25 [Conf]
  6. Alper Demir, Amit Mehrotra, Jaijeet S. Roychowdhury
    Phase Noise in Oscillators: A Unifying Theory and Numerical Methods for Characterisation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:26-31 [Conf]
  7. Luigi Carro, Marcelo Negreiros
    Efficient Analog Test Methodology Based on Adaptive Algorithms. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:32-37 [Conf]
  8. Bogdan G. Arsintescu, Edoardo Charbon, Enrico Malavasi, Umakanta Choudhury, William H. Kao
    General AC Constraint Transformation for Analog ICs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:38-43 [Conf]
  9. Jacob Rael, Ahmadreza Rofougaran, Asad A. Abidi
    Design Methodology Used in a Single-Chip CMOS 900 MHz Spread-Spectrum Wireless Transceiver. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:44-49 [Conf]
  10. Jörg Hilgenstock, Klaus Herrmann, Jan Otterstedt, Dirk Niggemeyer, Peter Pirsch
    A Video Signal Processor for MIMD Multiprocessing. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:50-55 [Conf]
  11. Jens Peter Wittenburg, Willm Hinrichs, Johannes Kneip, Martin Ohmacht, Mladen Berekovic, Hanno Lieske, Helge Kloos, Peter Pirsch
    Realization of a Programmable Parallel DSP for High Performance Image Processing Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:56-61 [Conf]
  12. Roy A. Sutton, Vason P. Srini, Jan M. Rabaey
    A Multiprocessor DSP System Using PADDI-2. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:62-65 [Conf]
  13. A. Grbic, Stephen Dean Brown, S. Caranci, R. Grindley, M. Gusat, Guy G. Lemieux, K. Loveless, Naraig Manjikian, Sinisa Srbljic, Michael Stumm, Zvonko G. Vranesic, Zeljko Zilic
    Design and Implementation of the NUMAchine Multiprocessor. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:66-69 [Conf]
  14. James Shin Young, Josh MacDonald, Michael Shilman, Abdallah Tabbara, Paul N. Hilfinger, A. Richard Newton
    Design and Specification of Embedded Systems in Java Using Successive, Formal Refinement. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:70-75 [Conf]
  15. Julio Leao da Silva Jr., Chantal Ykman-Couvreur, Miguel Miranda, Kris Croes, Sven Wuytack, Gjalt G. de Jong, Francky Catthoor, Diederik Verkest, Paul Six, Hugo De Man
    Efficient System Exploration and Synthesis of Applications with Dynamic Data Storage and Intensive Data Transfer. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:76-81 [Conf]
  16. Ireneusz Karkowski, Henk Corporaal
    Design Space Exploration Algorithm for Heterogeneous Multi-Processor Embedded System Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:82-87 [Conf]
  17. Pai H. Chou, Gaetano Borriello
    Modal Processes: Towards Enhanced Retargetability Through Control Composition of Distributed Embedded Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:88-93 [Conf]
  18. Kenneth L. Shepard
    Design Methodologies for Noise in Digital Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:94-99 [Conf]
  19. N. S. Nagaraj, Kenneth L. Shepard, Takahide Inone
    Taming Noise in Deep Submicron Digital Integrated Circuits (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:100-101 [Conf]
  20. Ganesh Lakshminarayana, Niraj K. Jha
    FACT: A Framework for the Application of Throughput and Power Optimizing Transformations to Control-Flow Intensive Behavioral Descriptions. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:102-107 [Conf]
  21. Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha
    Incorporating Speculative Execution into Scheduling of Control-Flow Intensive Behavioral Descriptions. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:108-113 [Conf]
  22. Shantanu Tarafdar, Miriam Leeser
    The DT-Model: High-Level Synthesis Using Data Transfers. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:114-117 [Conf]
  23. Moonwook Oh, Soonhoi Ha
    Rate Optimal VLSI Design from Data Flow Graph. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:118-121 [Conf]
  24. Ralph H. J. M. Otten, Robert K. Brayton
    Planning for Performance. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:122-127 [Conf]
  25. Amir H. Salek, Jinan Lou, Massoud Pedram
    A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:128-134 [Conf]
  26. Peter R. Sutton, Stephen W. Director
    Framework Encapsulations: A New Approach to CAD Tool Interoperability. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:134-139 [Conf]
  27. Ken Hines, Gaetano Borriello
    A Geographically Distributed Framework for Embedded System Design and Validation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:140-145 [Conf]
  28. Francis L. Chan, Mark D. Spiller, A. Richard Newton
    WELD - An Environment for Web-based Electronic Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:146-151 [Conf]
  29. Farzan Fallah, Srinivas Devadas, Kurt Keutzer
    OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:152-157 [Conf]
  30. Raanan Grinwald, Eran Harel, Michael Orgad, Shmuel Ur, Avi Ziv
    User Defined Coverage - A Tool Supported Methodology for Design Verification. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:158-163 [Conf]
  31. Joshua Marantz
    Enhanced Visibility and Performance in Functional Verification by Reconstruction. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:164-169 [Conf]
  32. Namseung Kim, Hoon Choi, Seungjong Lee, Seungwang Lee, In-Cheol Park, Chong-Min Kyung
    Virtual Chip: Making Functional Models Work on Real Target Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:170-173 [Conf]
  33. Peter Heller
    Hardware/Software Co-Design: The Next Embedded System Design Challenge (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:174-175 [Conf]
  34. Inki Hong, Darko Kirovski, Gang Qu, Miodrag Potkonjak, Mani B. Srivastava
    Power Optimization of Variable Voltage Core-Based Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:176-181 [Conf]
  35. Giuseppe A. Paleologo, Luca Benini, Alessandro Bogliolo, Giovanni De Micheli
    Policy Optimization for Dynamic Power Management. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:182-187 [Conf]
  36. Yanbing Li, Jörg Henkel
    A Framework for Estimation and Minimizing Energy Dissipation of Embedded HW/SW Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:188-193 [Conf]
  37. Peixin Zhong, Pranav Ashar, Sharad Malik, Margaret Martonosi
    Using Reconfigurable Computing Techniques to Accelerate Problems in the CAD Domain: A Case Study with Boolean Satisfiability. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:194-199 [Conf]
  38. Rolf Drechsler, Nicole Drechsler, Wolfgang Günther
    Fast Exact Minimization of BDDs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:200-205 [Conf]
  39. Uwe Hinsberger, Reiner Kolla
    Boolean Matching for Large Libraries. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:206-211 [Conf]
  40. Weiping Shi, Jianguo Liu, Naveen Kakani, Tiejun Yu
    A Fast Hierarchical Algorithm for 3-D Capacitance Extraction. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:212-217 [Conf]
  41. E. Aykut Dengi, Ronald A. Rohrer
    Boundary Element Method Macromodels for 2-D Hierachical Capacitance Extraction. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:218-223 [Conf]
  42. Jinsong Zhao, Wayne Wei-Ming Dai, Sharad Kapur, David E. Long
    Efficient Three-Dimensional Extraction Based on Static and Full-Wave Layered Green's Functions. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:224-229 [Conf]
  43. Nevine Nassif, Madhav P. Desai, Dale H. Hall
    Robust Elmore Delay Models Suitable for Full Chip Timing Verification of a 600MHz CMOS Microprocessor. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:230-235 [Conf]
  44. Robert M. McGraw, James H. Aylor, Robert H. Klenke
    A Top-Down Design Environment for Developing Pipelined Datapaths. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:236-241 [Conf]
  45. Rita Yu Chen, Robert Michael Owens, Mary Jane Irwin, Raminder Singh Bajwa
    Validation of an Architectural Level Power Analysis Technique. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:242-245 [Conf]
  46. Toshihiro Hattori, Yusuke Nitta, Mitsuho Seki, Susumu Narita, Kunio Uchiyama, Tsuyoshi Takahashi, Ryuichi Satomura
    Design Methodology of a 200MHz Superscalar Microprocessor: SH-4. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:246-249 [Conf]
  47. Stephan Ohr
    How Much Analog Does a Designer Need to Know for Successful Mixed-Signal Design? (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:250- [Conf]
  48. Gustavo de Veciana, Margarida F. Jacome, J.-H. Guo
    Hierarchical Algorithms for Assessing Probabilistic Constraints on System Performance. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:251-256 [Conf]
  49. Asawaree Kalavade, Pratyush Moghé
    A Tool for Performance Estimation of Networked Embedded End-systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:257-262 [Conf]
  50. Ali Dasdan, Dinesh Ramanathan, Rajesh K. Gupta
    Rate Derivation and Its Applications to Reactive, Real-Time Embedded Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:263-268 [Conf]
  51. Hans Eisenmann, Frank M. Johannes
    Generic Global Placement and Floorplanning. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:269-274 [Conf]
  52. Phiroze N. Parakh, Richard B. Brown, Karem A. Sakallah
    Congestion Driven Quadratic Placement. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:275-278 [Conf]
  53. Maogang Wang, Prithviraj Banerjee, Majid Sarrafzadeh
    Potential-NRG: Placement with Incomplete Data. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:279-282 [Conf]
  54. Wen-Jong Fang, Allen C.-H. Wu
    Performance-Driven Multi-FPGA Partitioning Using Functional Clustering and Replication. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:283-286 [Conf]
  55. Jaewon Oh, Massoud Pedram
    Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:287-290 [Conf]
  56. Tong Li, Sung-Mo Kang
    Layout Extraction and Verification Methodology CMOS I/O Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:291-296 [Conf]
  57. Nuno Alexandre Marques, Mattan Kamon, Jacob White, Luis Miguel Silveira
    A Mixed Nodal-Mesh Formulation for Efficient Extraction and Passive Reduced-Order Modeling of 3D Interconnects. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:297-302 [Conf]
  58. Byron Krauter, Sharad Mehrotra
    Layout Based Frequency Dependent Inductance and Resistance Extraction for On-Chip Interconnect Timing Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:303-308 [Conf]
  59. Lisa Guerra, Miodrag Potkonjak, Jan M. Rabaey
    A Methodology for Guided Behavioral-Level Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:309-314 [Conf]
  60. Patrick Schaumont, Serge Vernalde, Luc Rijnders, Marc Engels, Ivo Bolsens
    A Programming Environment for the Design of Complex High Speed ASICs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:315-320 [Conf]
  61. Chunho Lee, Johnson Kin, Miodrag Potkonjak, William H. Mangione-Smith
    Media Architecture: General Purpose vs. Multiple Application-Specific Programmable Processor. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:321-326 [Conf]
  62. Randal E. Bryant, Gerry Musgrave
    User Experience with High Level Formal Verification (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:327- [Conf]
  63. David L. Dill
    What's Between Simulation and Formal Verification? (Extended Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:328-329 [Conf]
  64. Jason Cong, Chang Wu
    Optimal FPGA Mapping and Retiming with Efficient Initial State Computation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:330-335 [Conf]
  65. Victor N. Kravets, Karem A. Sakallah
    M32: A Constructive multilevel Logic Synthesis System. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:336-341 [Conf]
  66. Shih-Chieh Chang, David Ihsin Cheng
    Efficient Boolean Division and Substitution. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:342-347 [Conf]
  67. Yuji Kukimoto, Robert K. Brayton, Prashant Sawkar
    Delay-Optimal Technology Mapping by DAG Covering. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:348-351 [Conf]
  68. David S. Kung
    A Fast Fanout Optimization Algorithm for Near-Continuous Buffer Libraries. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:352-355 [Conf]
  69. Jason Cong, Patrick H. Madden
    Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:356-361 [Conf]
  70. Charles J. Alpert, Anirudh Devgan, Stephen T. Quay
    Buffer Insertion for Noise and Delay Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:362-367 [Conf]
  71. John Lillis, Premal Buch
    Table-Lookup Methods for Improved Performance-Driven Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:368-373 [Conf]
  72. Hai Zhou, D. F. Wong
    Global Routing with Crosstalk Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:374-377 [Conf]
  73. Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen
    Timing and Crosstalk Driven Area Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:378-381 [Conf]
  74. Arun N. Lokanathan, Jay B. Brockman
    Process Multi-Circuit Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:382-387 [Conf]
  75. Rajendran Panda, Abhijit Dharchoudhury, Tim Edwards, Joe Norton, David Blaauw
    Migration: A New Technique to Improve Synthesized Designs Through Incremental Customization. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:388-391 [Conf]
  76. Julian Culetu, Chaim Amir, John MacDonald
    A Practical Repeater Insertion Method in High Speed VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:392-395 [Conf]
  77. Paolo Ienne, Alexander Grießing
    Practical Experiences with Standard-Cell Based Datapath Design Tools: Do We Really Need Regular Layouts? [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:396-401 [Conf]
  78. Michael Orshansky, James C. Chen, Chenming Hu
    A Statistical Performance Simulation Methodology for VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:402-407 [Conf]
  79. Behzad Razavi
    RF IC Design Challenges. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:408-413 [Conf]
  80. Al Dunlop, Alper Demir, Peter Feldmann, Sharad Kapur, David E. Long, Robert C. Melville, Jaijeet S. Roychowdhury
    Tools and Methodology for RF IC Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:414-420 [Conf]
  81. Frank Y. Yuan
    Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:421-426 [Conf]
  82. Darko Kirovski, Miodrag Potkonjak
    Efficient Coloring of a Large Spectrum of Graphs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:427-432 [Conf]
  83. Taewhan Kim, William Jao, Steven W. K. Tjiang
    Arithmetic Optimization Using Carry-Save-Adders. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:433-438 [Conf]
  84. Ganesh Lakshminarayana, Niraj K. Jha
    Synthesis of Power-Optimized and Area-Optimized Circuits from Hierarchical Behavioral Descriptions. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:439-444 [Conf]
  85. Kavita Ravi, Kenneth L. McMillan, Thomas R. Shiple, Fabio Somenzi
    Approximation and Decomposition of Binary Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:445-450 [Conf]
  86. Shankar G. Govindaraju, David L. Dill, Alan J. Hu, Mark Horowitz
    Approximate Reachability with BDDs Using Overlapping Projections. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:451-456 [Conf]
  87. Abelardo Pardo, Gary D. Hachtel
    Incremental CTL Model Checking Using BDD Subsetting. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:457-462 [Conf]
  88. Rony Kay, Lawrence T. Pileggi
    PRIMO: Probability Interpretation of Moments for Delay Calculation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:463-468 [Conf]
  89. Ying Liu, Lawrence T. Pileggi, Andrzej J. Strojwas
    ftd: An Exact Frequency to Time Domain Conversion for Reduced Order RLC Interconnect Models. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:469-472 [Conf]
  90. Fang-Jou Liu, Chung-Kuan Cheng
    Extending Moment Computation to 2-Port Circuit Representations. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:473-476 [Conf]
  91. Tuyen V. Nguyen, Anirudh Devgan, Ognen J. Nastov
    Adjoint Transient Sensitivity Computation in Piecewise Linear Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:477-482 [Conf]
  92. Kimiyoshi Usami, Mutsunori Igarashi, Takashi Ishikawa, Masahiro Kanazawa, Masafumi Takahashi, Mototsugu Hamada, Hideho Arakida, Toshihiro Terazawa, Tadahiro Kuroda
    Design Methodology of Ultra Low-Power MPEG4 Codec Core Exploiting Voltage Scaling Techniques. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:483-488 [Conf]
  93. Liqiong Wei, Zhanping Chen, Mark Johnson, Kaushik Roy, Vivek De
    Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:489-494 [Conf]
  94. James Kao, Siva Narendra, Anantha Chandrakasan
    MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:495-500 [Conf]
  95. A. Richard Newton
    Technical Challenges of IP and System-on-Chip: The ASIC Vendor Perspective (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:501- [Conf]
  96. Bill Lin
    Software Synthesis of Process-Based Concurrent Programs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:502-505 [Conf]
  97. Youpyo Hong, Peter A. Beerel, Luciano Lavagno, Ellen Sentovich
    Don't Care-Based BDD Minimization for Embedded Software. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:506-509 [Conf]
  98. Silvina Hanono, Srinivas Devadas
    Instruction Selection, Resource Allocation, and Scheduling in the AVIV Retargetable Code Generator. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:510-515 [Conf]
  99. Haris Lekatsas, Wayne Wolf
    Code Compression for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:516-521 [Conf]
  100. Clark W. Barrett, David L. Dill, Jeremy R. Levitt
    A Decision Procedure for Bit-Vector Arithmetic. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:522-527 [Conf]
  101. Farzan Fallah, Srinivas Devadas, Kurt Keutzer
    Functional Vector Generation for HDL Models Using Linear Programming and 3-Satisfiability. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:528-533 [Conf]
  102. Li-C. Wang, Magdy S. Abadir, Nari Krishnamurthy
    Automatic Generation of Assertions for Formal Verification of PowerPC Microprocessor Arrays Using Symbolic Trajectory Evaluation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:534-537 [Conf]
  103. Mark Aagaard, Robert B. Jones, Carl-Johan H. Seger
    Combining Theorem Proving and Trajectory Evaluation in an Industrial Environment. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:538-541 [Conf]
  104. Indradeep Ghosh, Sujit Dey, Niraj K. Jha
    A Fast and Low Cost Testing Technique for Core-Based System-on-Chip. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:542-547 [Conf]
  105. Ishwar Parulkar, Sandeep K. Gupta, Melvin A. Breuer
    Introducing Redundant Computations in a Behavior for Reducing BIST Resources. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:548-553 [Conf]
  106. Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik
    A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:554-559 [Conf]
  107. Yehea I. Ismail, Eby G. Friedman, José Luis Neves
    Figures of Merit to Characterize the Importance of On-Chip Inductance. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:560-565 [Conf]
  108. Yehia Massoud, Steve S. Majors, Tareq Bustami, Jacob White
    Layout Techniques for Minimizing On-Chip Interconnect Self Inductance. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:566-571 [Conf]
  109. N. S. Nagaraj, Frank Cano, Haldun Haznedar, Duane Young
    A Practical Approach to Static Signal Electromigration Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:572-577 [Conf]
  110. Carlos Dangelo
    Design Productivity: How To Measure It, How To Improve It (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:578-579 [Conf]
  111. Yuji Kukimoto, Robert K. Brayton
    Hierarchical Functional Timing Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:580-585 [Conf]
  112. Tod Amon, Gaetano Borriello, Jiwen Liu
    Making Complex Timing Relationships Readable: Presburger Formula Simplicication Using Don't Cares. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:586-590 [Conf]
  113. Mahadevamurty Nemani, Farid N. Najm
    Delay Estimation VLSI Circuits from a High-Level View. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:591-594 [Conf]
  114. Florentin Dartu, Lawrence T. Pileggi
    TETA: Transistor-Level Engine for Timing Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:595-598 [Conf]
  115. C. Han Yang, David L. Dill
    Validation with Guided Search of the State Space. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:599-604 [Conf]
  116. Aiguo Xie, Peter A. Beerel
    Efficient State Classification of Finite State Markov Chains. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:605-610 [Conf]
  117. Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee
    An Implicit Algorithm for Finding Steady States and its Application to FSM Verification. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:611-614 [Conf]
  118. Adnan Aziz, James H. Kukula, Thomas R. Shiple
    Hybrid Verification Using Saturated Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:615-618 [Conf]
  119. Dechang Sun, Bapiraju Vinnakota, Wanli Jiang
    Fast State Verification. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:619-624 [Conf]
  120. Aiman H. El-Maleh, Mark Kassab, Janusz Rajski
    A Fast Sequential Learning Technique for Real Circuits with Application to Enhancing ATPG Performance. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:625-631 [Conf]
  121. Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Juin-Yeu Joseph Lu
    Fault-Simulation Based Design Error Diagnosis for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:632-637 [Conf]
  122. Scott A. Taylor, Michael Quinn, Darren Brown, Nathan Dohm, Scot Hildebrandt, James Huggins, Carl Ramey
    Functional Verification of a Multiple-issue, Out-of-Order, Superscalar Alpha Processor - The DEC Alpha 21264 Microprocessor. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:638-643 [Conf]
  123. Yossi Malka, Avi Ziv
    Design Reliability - Estimation through Statistical Analysis of Bug Discovery Data. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:644-649 [Conf]
  124. Adrian Evans, Allan Silburt, Gary Vrckovnik, Thane Brown, Mario Dufresne, Geoffrey Hall, Tung Ho, Ying Liu
    Functional Verification of Large ASICs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:650-655 [Conf]
  125. Erach Desai
    The EDA Start-up Experience: The First Product (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:656-657 [Conf]
  126. Kunle Olukotun, Mark Heinrich, David Ofelt
    Digital System Simulation: Methodologies and Examples. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:658-663 [Conf]
  127. Yufeng Luo, Tjahjadi Wongsonegoro, Adnan Aziz
    Hybrid Techniques for Fast Functional Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:664-667 [Conf]
  128. Jerry Bauer, Michael Bershteyn, Ian Kaplan, Paul Vyedin
    A Reconfigurable Logic Machine for Fast Event-Driven Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:668-671 [Conf]
  129. Victor Kim, Prithviraj Banerjee
    Parallel Algorithms for Power Estimation. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:672-677 [Conf]
  130. Zhanping Chen, Kaushik Roy
    A Power Macromodeling Technique Based on Power Sensitivity. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:678-683 [Conf]
  131. Qinru Qiu, Qing Wu, Massoud Pedram
    Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:684-689 [Conf]
  132. Byunggyu Kwak, Eun Sei Park
    An Optimization-Based Error Calculation for Statistical Power Estimation of CMOS Logic Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:690-693 [Conf]
  133. Rajeev Murgai, Masahiro Fujita, Arlindo L. Oliveira
    Using Complementation and Resequencing to Minimize Transitions. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:694-697 [Conf]
  134. Jason Helge Anderson, Stephen Dean Brown
    Technology Mapping for Large Complex PLDs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:698-703 [Conf]
  135. Jason Cong, Songjie Xu
    Delay-Optimal Technology Mapping for FPGAs with Heterogeneous LUTs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:704-707 [Conf]
  136. Madhukar R. Korupolu, K. K. Lee, D. F. Wong
    Exact Tree-based FPGA Technology Mapping for Logic Blocks with Independent LUTs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:708-711 [Conf]
  137. Jie-Hong Roland Jiang, Jing-Yang Jou, Juinn-Dar Huang
    Compatible Class Encoding in Hyper-Function Decomposition for FPGA Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:712-717 [Conf]
  138. Balakrishna Kumthekar, Luca Benini, Enrico Macii, Fabio Somenzi
    In-Place Power Optimization for LUT-Based FPGAs. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:718-721 [Conf]
  139. Jan-Min Hwang, Feng-Yi Chiang, TingTing Hwang
    A Re-engineering Approach to Low Power FPGA Design Using SPFD. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:722-725 [Conf]
  140. Michael K. Gowan, Larry L. Biro, Daniel B. Jackson
    Power Considerations in the Design of the Alpha 21264 Microprocessor. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:726-731 [Conf]
  141. Vivek Tiwari, Deo Singh, Suresh Rajgopal, Gaurav Mehta, Rakesh Patel, Franklin Baez
    Reducing Power in High-Performance Microprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:732-737 [Conf]
  142. Abhijit Dharchoudhury, Rajendran Panda, David Blaauw, Ravi Vaidyanathan, Bogdan Tutuianu, David Bearden
    Design and Analysis of Power Distribution Networks in PowerPC Microprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:738-743 [Conf]
  143. Gregory Steele, David Overhauser, Steffen Rochel, Syed Zakir Hussain
    Full-Chip Verification Methods for DSM Power Distribution Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:744-749 [Conf]
  144. Prab Varma
    System Chip Test Challenges, Are There Solutions Today? (Panel). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:750-751 [Conf]
  145. Yervant Zorian
    System-Chip Test Strategies (Tutorial). [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:752-757 [Conf]
  146. José C. Monteiro, Arlindo L. Oliveira
    Finite State Machine Decomposition For Low Power. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:758-763 [Conf]
  147. Luca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino
    Computational Kernels and their Application to Sequential Power Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:764-769 [Conf]
  148. Andrew Seawright, Wolfgang Meyer
    Partitioning and Optimizing Controllers Synthesized from Hierarchical High-Level Descriptions. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:770-775 [Conf]
  149. Andrew B. Kahng, John Lach, William H. Mangione-Smith, Stefanus Mantik, Igor L. Markov, Miodrag Potkonjak, Paul Tucker, Huijuan Wang, Gregory Wolfe
    Watermarking Techniques for Intellectual Property Protection. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:776-781 [Conf]
  150. Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Miodrag Potkonjak, Paul Tucker, Huijuan Wang, Gregory Wolfe
    Robust IP Watermarking Methodologies for Physical Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:782-787 [Conf]
  151. Scott Hauck, Stephen Knol
    Data Security for Web-based CAD. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:788-793 [Conf]
  152. Ulrich Holtmann, Peter Blinzer
    Design of a SPDIF Receiver Using Protocol Compiler. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:794-799 [Conf]
  153. Jin-Hyuk Yang, Byoung-Woon Kim, Sang-Jun Nam, Jang-Ho Cho, Sung-Won Seo, Chang-Ho Ryu, Young-Su Kwon, Dae-Hyun Lee, Jong-Yeol Lee, Jong-Sun Kim, Hyun-Dhong Yoon, Jae-Yeol Kim, Kun-Moo Lee, Chan-Soo Hwang, In-Hyung Kim, Jun Sung Kim, Kwang-Il Park, Kyu Ho Park, Yong-Hoon Lee, Seung Ho Hwang, In-Cheol Park, Chong-Min Kyung
    MetaCore: An Application Specific DSP Development System. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:800-803 [Conf]
  154. Tullio Cuatto, Claudio Passerone, Luciano Lavagno, Attila Jurecska, Antonino Damiano, Claudio Sansoè, Alberto L. Sangiovanni-Vincentelli
    A Case Study in Embedded System Design: An Engine Control Unit. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:804-807 [Conf]
  155. Thomas W. Albrecht, Johann Notbauer, Stefan Rohringer
    HW/SW CoVerification Performance Estimation and Benchmark for a 24 Embedded RISC Core Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:808-811 [Conf]
  156. Daniel Gajski, Frank Vahid, Sanjiv Narayan, Jie Gong
    System-level exploration with SpecSyn. [Citation Graph (0, 0)][DBLP]
    DAC, 1998, pp:812-817 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002