The SCEAS System
Navigation Menu

Conferences in DBLP

ACM Great Lakes Symposium on VLSI (glvlsi)
1996 (conf/glvlsi/1996)

  1. Yun-Nan Chang, Ching-Yi Wang, Keshab K. Parhi
    Loop-List Scheduling for Heterogeneous Functional Units. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:2-7 [Conf]
  2. Duen-Jeng Wang, Yu Hen Hu
    Synthesis of Real-Time Recursive DSP Algorithms Using Multiple Chips. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:8-13 [Conf]
  3. Jian-Feng Shi, Liang-Fang Chao
    Resource-Constrained Algebraic Transformation for Loop Pipelining. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:14-17 [Conf]
  4. Gary William Grewal
    A Global Mode Instruction Minimization Technique for Embedded DSPs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:18-0 [Conf]
  5. Philippe Royannez, Amara Amara
    A 1.0ns 64-bits GaAs Adder using Quad tree algorithm. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:24-28 [Conf]
  6. Nalini K. Ratha, Anil K. Jain, Diane T. Rover
    FPGA-based high performance page layout segmentation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:29-34 [Conf]
  7. Pong P. Chu
    A Reprogrammable FPGA-Based ATM Traffic Generator. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:35-38 [Conf]
  8. Kevin A. Kwiat, Warren Debany, Salim Hariri
    Software Fault Tolerance Using Dynamically Reconfigurable FPGAs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:39-0 [Conf]
  9. Moazzem Hossain, Bala Thumma, Sunil Ashtaputre
    A New Faster Algorithm for Iterative Placement Improvement. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:44-49 [Conf]
  10. Dirk Stroobandt, Herwig Van Marck, Jan Van Campenhout
    An Accurate Interconnection Length Estimation for Computer Logic. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:50-55 [Conf]
  11. Dinesh P. Mehta, Naveed A. Sherwani
    A Minimum-Area Floorplanning Algorithm for MBC Designs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:56-59 [Conf]
  12. Jianjian Song, Heng Kek Choo, Wenjun Zhuang
    A New Model for General Connectivity and its Application to Placement. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:60-0 [Conf]
  13. Nelson L. Passos, Edwin Hsing-Mean Sha
    A Parameterized Index-Generator for the Multi-Dimensional Interleaving Optimization. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:66-71 [Conf]
  14. Paul Shipley, Sherif Sayed, Magdy A. Bayoumi
    A High Speed VLSI Architecture for Scaleable ATM Switches. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:72-76 [Conf]
  15. Jörg Wilberg, A. Kuth, Raul Camposano, Wolfgang Rosenstiel, Heinrich Theodor Vierhaus
    A Design Exploration Environment. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:77-80 [Conf]
  16. Mariana-Eugenia Petre, Guido Masera
    A Parametrical Architecture for Reed-Solomon Decoders. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:81-0 [Conf]
  17. Joseph L. Ganley, James P. Cohoon
    A Provably Good Moat Routing Algorithm. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:86-85 [Conf]
  18. Anthony D. Johnson
    On Locally Optimal Breaking of Complex Cyclic Vertical Constraints in VLSI Channel Routing. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:92-95 [Conf]
  19. James Loy, Atul Garg, Mukkai S. Krishnamoorthy, John F. McDonald
    Chip Pad Migration is a Key Component to High Performance MCM Design. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:96-99 [Conf]
  20. Jin-Tai Yan
    An Optimal ILP Formulation for Minimixing the Number of Feedthrough Cells in Standard Cell Placement. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:100-0 [Conf]
  21. Sofiène Tahar, Zijian Zhou, Xiaoyu Song, Eduard Cerny, Michel Langevin
    Formal Verification of an ATM Switch Fabric using Multiway Decision Graphs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:106-111 [Conf]
  22. Valeria Bertacco, Maurizio Damiani
    Boolean Function Representation Using Parallel-Access Diagrams. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:112-117 [Conf]
  23. Chien-Chung Tsai, Malgorzata Marek-Sadowska
    Logic Synthesis for Testability. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:118-121 [Conf]
  24. Seokjin Kim, Ramalingam Sridhar
    Self-Timed Mesochronous Interconnection for High-Speed VLSI Systems. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:122-125 [Conf]
  25. Laura Heinrich-Litan, Paul Molitor, Dirk Möller
    Least Upper Bounds on the Sizes of Symmetric Variable Order based OBDDs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:126-0 [Conf]
  26. Dongsheng Wang, Ernest S. Kuh
    Performance-Driven Interconnect Global Routing. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:132-136 [Conf]
  27. Manjit Borah, Robert Michael Owens, Mary Jane Irwin
    Recent Developments in Performance Driven Steiner Routing: An Overview. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:137-142 [Conf]
  28. Masato Edahiro, Richard J. Lipton
    Clock Buffer Placement Algorithm for Wire-Delay-Dominated Timing Model. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:143-147 [Conf]
  29. John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin
    Simultaneous Routing and Buffer Insertion for High Performance Interconnect. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:148-153 [Conf]
  30. Guangqiu Chen, Hidetoshi Onodera, Keikichi Tamaru
    Timing and Power Optimization by Gate Sizing Considering False Paths. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:154-0 [Conf]
  31. Enrico Macii, Massimo Poncino
    Exact Computation of the Entropy of a Logic Circuit. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:162-167 [Conf]
  32. Christophe Tretz, Charles A. Zukowski
    CMOS Transistor Sizing for Minimization of Energy-Delay Product. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:168-173 [Conf]
  33. Emad N. Farag, Mohamed I. Elmasry
    Low-Power Implementation of Discrete Cosine Transform. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:174-177 [Conf]
  34. Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin
    Some Issues in Gray Code Addressing. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:178-181 [Conf]
  35. Prakash Arunachalam, Jacob A. Abraham, Manuel A. d'Abreu
    A Hierarchal Approach for Power Reduction in VLSI Chips. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:182-0 [Conf]
  36. Winfried Nöth, Uwe Hinsberger, Reiner Kolla
    TROY: A Tree-Based Approach to Logic Synthesis and Technology Mapping. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:188-193 [Conf]
  37. Bradley S. Carlson, C. Y. Roger Chen, Dikran S. Meliksetian
    Transistor Chaining in CMOS Leaf Cells of Planar Topology. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:194-199 [Conf]
  38. Mario A. Lopez, Dinesh P. Mehta
    Partitioning Algorithms for Corner Stitching. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:200-0 [Conf]
  39. Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto
    Test Generation for Networks of Interacting FSMs Using Symbolic Techniques. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:208-213 [Conf]
  40. Sankaran M. Menon, Anura P. Jayasumana, Yashwant K. Malaiya
    Input Pattern Classification for Transistor Level Testing of Bridging Faults in BiCMOS Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:214-219 [Conf]
  41. Nidhi Agrawal, Parul Agarwal, C. P. Ravikumar
    Efficient Delay Test Generation for Modular Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:220-0 [Conf]
  42. Hormoz Djahanshahi, Majid Ahmadi, Graham A. Jullien, William C. Miller
    Design and VLSI Implementation of a Unified Synapse-Neuron Architecture. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:228-233 [Conf]
  43. Chantana Chantrapornchai, Sissades Tongsima, Edwin Hsing-Mean Sha
    Rapid Prototyping for Fuzzy Systems. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:234-239 [Conf]
  44. Hangu Yeo, Yu Hen Hu
    A Modular Architecture for Real Time HDTV Motion Estimation with Large Search Range. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:240-0 [Conf]
  45. José G. Delgado-Frias, Jabulani Nyathi, Chester L. Miller, Douglas H. Summerville
    A VLSI Interconnection Network Router Using a D-CAM with Hidden Refresh. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:246-251 [Conf]
  46. L. Desormeaux, V. Szwarc, J. Lodge
    A High-Speed, Real-to-Quadrature Converter with Filtering and Decimation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:252-255 [Conf]
  47. Maher E. Rizkalla, Richard L. Aldridge, Nadeem A. Khan, Harry C. Gundrum
    A CMOS VLSI Implementation of an NxN Multiplexing Circuitry for ATM Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:256-259 [Conf]
  48. Jai-Sop Hyun, Kwang Sub Yoon
    A 3V-50MHz Analog CMOS Current-Mode High Frequency Filter with a Negative Resistance Load. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:260-0 [Conf]
  49. Nozar Tabrizi, Michael J. Liebelt, Kamran Eshraghian
    Delay Hazards in Complex Gate Based Speed Independent VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:266-271 [Conf]
  50. Ayman I. Kayssi
    Macromodeling C- and RC-loaded CMOS inverters for timing analysis. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:272-276 [Conf]
  51. Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen
    On Verifying the Correctness of Retimed Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:277-0 [Conf]
  52. Irith Pomeranz, Sudhakar M. Reddy, Janak H. Patel
    On Double Transition Faults as a Delay Fault Model. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:282-287 [Conf]
  53. Kent L. Einspahr, Sharad C. Seth, Vishwani D. Agrawal
    Improving Circuit Testability by Clock Control. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:288-293 [Conf]
  54. Zaifu Zhang, Robert D. McLeod
    An Efficient Multiple Scan Chain Testing Scheme. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1996, pp:294-0 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002