The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
1992 (conf/iccad/1992)

  1. Sridhar Narayanan, Rajesh Gupta, Melvin A. Breuer
    Configuring multiple scan chains for minimum test time. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:4-8 [Conf]
  2. Pao-Chuan Chen, Bin-Da Liu, Jhing-Fa Wang
    Overall consideration of scan design and test generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:9-12 [Conf]
  3. Y. H. Choi, T. Jung
    Configuration of a boundary scan chain for optimal testing of clusters of non boundary scan devices. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:13-16 [Conf]
  4. Soo Young Lee, Kewal K. Saluja
    An algorithm to reduce test application time in full scan designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:17-20 [Conf]
  5. Surendra Burman, Chandar Kamalanathan, Naveed A. Sherwani
    New channel segmentation model and associated routing algorithm for high performance FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:22-25 [Conf]
  6. Kai Zhu, D. F. Wong
    On channel segmentation design for row-based FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:26-29 [Conf]
  7. Akhilesh Tyagi
    VLSI design parsing (preliminary version). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:30-34 [Conf]
  8. Tsung D. Lee, Lawrence P. McNamee
    Aesthetic routing for transistor schematics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:35-38 [Conf]
  9. Robert J. Francis
    A tutorial on logic synthesis for lookup-table based FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:40-47 [Conf]
  10. Jason Cong, Yuzheng Ding
    An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:48-53 [Conf]
  11. Yuji Kukimoto, Masahiro Fujita
    Rectification method for lookup-table type FPGA's. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:54-61 [Conf]
  12. Seok-Yoon Kim, Nanda Gopal, Lawrence T. Pillage
    AWE macromodels of VLSI interconnect for circuit simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:64-70 [Conf]
  13. J. Eric Bracken, Vivek Raghavan, Ronald A. Rohrer
    Extension of the asymptotic waveform evaluation technique with the method of characteristics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:71-75 [Conf]
  14. M. Murat Alaybeyi, John Y. Lee, Ronald A. Rohrer
    Numerical integration algorithms and asymptotic waveform evaluation (AWE). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:76-79 [Conf]
  15. Ashish S. Gadagkar, James R. Armstrong
    Timing distribution in VHDL behavioral models. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:82-89 [Conf]
  16. Richard Burch, Farid N. Najm, Ping Yang, Timothy N. Trick
    McPOWER: a Monte Carlo approach to power estimation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:90-97 [Conf]
  17. Daniel Brand
    Exhaustive simulation need not require an exponential number of tests. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:98-101 [Conf]
  18. Alexandre Yakovlev, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli
    A unified signal transition graph model for asynchronous control circuit synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:104-111 [Conf]
  19. Peter Vanbekbergen, Bill Lin, Gert Goossens, Hugo De Man
    A generalized state assignment theory for transformation on signal transition graphs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:112-117 [Conf]
  20. Kuan-Jen Lin, Chen-Shang Lin
    On the verification of state-coding in STGs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:118-122 [Conf]
  21. Thomas G. Szymanski, Narendra V. Shenoy
    Verifying clock schedules. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:124-131 [Conf]
  22. Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Graph algorithms for clock schedule optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:132-136 [Conf]
  23. Timothy M. Burks, Karem A. Sakallah, Trevor N. Mudge
    Identification of critical paths in circuits with level-sensitive latches. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:137-141 [Conf]
  24. Chuan-Hua Chang, Edward S. Davidson, Karem A. Sakallah
    Using constraint geometry to determine maximum rate pipeline clocking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:142-148 [Conf]
  25. Jin-fuw Lee, Donald T. Tang
    HIMALAYAS - a hierarchical compaction system with a minimized constraint set. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:150-157 [Conf]
  26. Ravi Varadarajan, Cyrus Bamji
    Cloning techniques for hierarchical compaction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:158-161 [Conf]
  27. Toru Awashima, Wataru Yamamoto, Masao Sato, Tatsuo Ohtsuki
    An optimal chip compaction method based on shortest path algorithm with automatic jog insertion. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:162-165 [Conf]
  28. Goro Suzuki, Tetsuya Yamamoto, Kyoji Yuyama, Kotaro Hirasawa
    MOSAIC: a tile-based datapath layout generator. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:166-170 [Conf]
  29. Massimiliano Chiodo, Thomas R. Shiple, Alberto L. Sangiovanni-Vincentelli, Robert K. Brayton
    Automatic compositional minimization in CTL model checking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:172-178 [Conf]
  30. Enrico Macii, Bernard Plessier, Fabio Somenzi
    Verification of systems containing counters. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:179-182 [Conf]
  31. Filip Van Aelten, Stan Y. Liao, Jonathan Allen, Srinivas Devadas
    Automatic generation and verification of sufficient correctness properties for synchronous processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:183-187 [Conf]
  32. Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert Wang
    Verification of asynchronous interface circuits with bounded wire delays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:188-195 [Conf]
  33. Abdolreza Nabavi-Lishi, Nicholas C. Rumin
    Delay and bus current evaluation in CMOS logic circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:198-203 [Conf]
  34. F. Rouatbi, Baher Haroun, Asim J. Al-Khalili
    Power estimation tool for sub-micron CMOS VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:204-209 [Conf]
  35. Ping-Chung Li, Georgios I. Stamoulis, Ibrahim N. Hajj
    A probabilistic timing approach to hot-carrier effect estimation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:210-213 [Conf]
  36. Daniel G. Saab, Youssef Saab, Jacob A. Abraham
    CRIS: a test cultivation program for sequential VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:216-219 [Conf]
  37. Balkrishna Ramkumar, Prithviraj Banerjee
    Portable parallel test generation for sequential circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:220-223 [Conf]
  38. Rabindra K. Roy, Abhijit Chatterjee, Janak H. Patel, Jacob A. Abraham, Manuel A. d'Abreu
    Automatic test generation for linear digital systems with bi-level search using matrix transform methods. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:224-228 [Conf]
  39. Tsing-Fa Lee, Allen C.-H. Wu, Daniel Gajski, Youn-Long Lin
    An effective methodology for functional pipelining. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:230-233 [Conf]
  40. Hironori Komi, Shoichiro Yamada, Kunio Fukunaga
    A scheduling method by stepwise expansion in high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:234-237 [Conf]
  41. Catherine H. Gebotys
    Optimal synthesis of multichip architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:238-241 [Conf]
  42. Yu-Hsu Chang, Andrew T. Yang
    Analytic macromodeling and simulation fo tightly-coupled mixed analog-digital circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:244-247 [Conf]
  43. Peter Feldmann, Robert C. Melville, Shahriar Moinian
    Automatic differentiation in circuit simulation and device modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:248-253 [Conf]
  44. Kimon W. Michaels, Andrzej J. Strojwas
    A methodology for improved circuit simulation efficiency via topology-based variable accuracy device modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:254-257 [Conf]
  45. Ronn B. Brashear, Douglas R. Holberg, M. Ray Mercer, Lawrence T. Pillage
    ETA: electrical-level timing analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:258-262 [Conf]
  46. So-Zen Yao, Nan-Chi Chou, Chung-Kuan Cheng, T. C. Hu
    An optimal probe testing algorthm for the connectivity verification of MCM substrates. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:264-267 [Conf]
  47. Gary S. Greenstein, Janak H. Patel
    E-PROOFS: a CMOS bridging fault simulator. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:268-271 [Conf]
  48. Irith Pomeranz, Sudhakar M. Reddy
    On the generation of small dictionaries for fault location. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:272-279 [Conf]
  49. Uwe Hübner, Heinrich Theodor Vierhaus
    Efficient partitioning and analysis of digital CMOS-circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:280-283 [Conf]
  50. Wim F. J. Verhaegh, Paul E. R. Lippens, Emile H. L. Aarts, Jan H. M. Korst, Albert van der Werf, Jef L. van Meerbergen
    Efficiency improvements for force-directed scheduling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:286-291 [Conf]
  51. Albert van der Werf, M. J. H. Peek, Emile H. L. Aarts, Jef L. van Meerbergen, Paul E. R. Lippens, Wim F. J. Verhaegh
    Area optimization of multi-functional processing units. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:292-299 [Conf]
  52. Anantha Chandrakasan, Miodrag Potkonjak, Jan M. Rabaey, Robert W. Brodersen
    HYPER-LP: a system for power minimization using architectural transformations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:300-303 [Conf]
  53. Miodrag Potkonjak, Jan M. Rabaey
    Maximally fast and arbitrarily fast implementation of linear computations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:304-308 [Conf]
  54. Steven J. Seda, Marc G. R. Degrauwe, Wolfgang Fichtner
    Lazy-expansion symbolic expression approximation in SYNAP. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:310-317 [Conf]
  55. Francisco V. Fernández, Ángel Rodríguez-Vázquez, J. D. Martín, José L. Huertas
    Accuate simplification of large symbolic formulae. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:318-321 [Conf]
  56. Edward W. Y. Liu, Alberto L. Sangiovanni-Vincentelli
    Behavioral simulation for noise in mixed-mode sampled-data systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:322-326 [Conf]
  57. Allen C.-H. Wu, Tedd Hadley, Daniel Gajski
    An efficient multi-view design model for real-time interactive synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:328-331 [Conf]
  58. Roger P. Ang, Nikil D. Dutt
    Equivalent design representations and transformations for interactive scheduling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:332-335 [Conf]
  59. Robert C. Armstrong, Jonathan Allen
    FICOM: a framework for incremental consistency maintenance in multi-representation, structural VLSI databases. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:336-343 [Conf]
  60. Leon Stok
    False loops through resource sharing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:345-348 [Conf]
  61. Andreas Kuehlmann, Reinaldo A. Bergamaschi
    Timing analysis in high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:349-354 [Conf]
  62. Champaka Ramachandran, Fadi J. Kurdahi, Daniel Gajski, Allen C.-H. Wu, Viraphol Chaiyakul
    Accurate layout area and delay modeling for system level design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:355-361 [Conf]
  63. Emily J. Shriver, Karem A. Sakallah
    Ravel: assigned-delay compiled-code logic simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:364-368 [Conf]
  64. Abdulla Bataineh, Füsun Özgüner, Imre Szauter
    Parallel logic and fault simulation algorithms for shared memory vector machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:369-372 [Conf]
  65. Naoaki Suganuma, Yukihiro Murata, Satoru Nakata, Shinichi Nagata, Masahiro Tomita, Kotaro Hirano
    Reconfigurable machine and its application to logic diagnosis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:373-376 [Conf]
  66. A. Mahmood, W. I. Baker, Jayantha A. Herath, A. Jayasumana
    A logic simulation engine based on a modified data flow architecture. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:377-380 [Conf]
  67. Jiri Soukup
    Maze router without a grid map. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:382-385 [Conf]
  68. Mysore Sriram, Sung-Mo Kang
    Detailed layer assignment for MCM routing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:386-389 [Conf]
  69. De-Sheng Chen, Majid Sarrafzadeh
    A wire-length minimization algorithm for single-layer layouts. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:390-393 [Conf]
  70. Sujoy Mitra, Sudip Nag, Rob A. Rutenbar, L. Richard Carley
    System-level routing of mixed-signal ASICs in WREN. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:394-399 [Conf]
  71. Amelia Shen, Abhijit Ghosh, Srinivas Devadas, Kurt Keutzer
    On average power dissipation and random pattern testability of CMOS combinational logic networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:402-407 [Conf]
  72. Jerry R. Burch, David E. Long
    Efficient Boolean function matching. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:408-411 [Conf]
  73. Kaushik De, Balkrishna Ramkumar, Prithviraj Banerjee
    ProperSYN: a portable parallel algorithm for logic synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:412-416 [Conf]
  74. Seh-Woong Jeong, Fabio Somenzi
    A new algorithm for the binate covering problem and its application to the minimization of Boolean relations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:417-420 [Conf]
  75. Lars W. Hagen, Andrew B. Kahng
    A new approach to effective circuit clustering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:422-427 [Conf]
  76. Ching-Wei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin
    A probabilistic multicommodity-flow solution to circuit clustering problems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:428-431 [Conf]
  77. L. James Hwang, Abbas El Gamal
    Optimal replication for min-cut partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:432-435 [Conf]
  78. Mattan Kamon, Michael J. Tsuk, C. Smithhisler, Jacob White
    Efficient techniques for inductance extraction of complex 3-D geometries. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:438-442 [Conf]
  79. Ali El-Zein, Salim Chowdhury
    An analytical method for finding the maximum crosstalk in lossless-coupled transmission lines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:443-448 [Conf]
  80. Sanjay L. Manney, Michel S. Nakhla, Qi-Jun Zhang
    Time domain analysis of nonuniform frequency dependent high-speed interconnects. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:449-453 [Conf]
  81. Stephen W. Director, Jonathan Allen, J. Duley
    Engineering education: trends and needs (panel). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:456- [Conf]
  82. Ying-Meng Li, Marwan A. Jabri
    A zero-skew clock routing scheme for VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:458-463 [Conf]
  83. Wasim Khan, Moazzem Hossain, Naveed A. Sherwani
    Zero skew clock routing in multiple-clock synchronous systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:464-467 [Conf]
  84. Dirk Theune, Ralf Thiele, Thomas Lengauer, Anja Feldmann
    HERO: hierarchical EMC-constrained routing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:468-472 [Conf]
  85. Qing Zhu, Wayne Wei-Ming Dai
    Perfect-balance planar clock routing with minimal path-length. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:473-476 [Conf]
  86. Jane S. Sun, Robert W. Brodersen
    Design of system interface modules. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:478-481 [Conf]
  87. G. Menez, Michel Auguin, Fernand Boéri, C. Carrière
    A partitioning algorithm for system-level synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:482-487 [Conf]
  88. Pai H. Chou, Ross B. Ortega, Gaetano Borriello
    Synthesis fo the hardware/software interface in microcontroller-based systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:488-495 [Conf]
  89. H. Krämer, J. Müller
    Assignment of global memory elements for multi-process VHDL specifications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:496-501 [Conf]
  90. Sujit Dey, Miodrag Potkonjak, Steven G. Rothweiler
    Performance optimization of sequential circuits by eliminating retiming bottlenecks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:504-509 [Conf]
  91. Pranav Ashar, Sujit Dey, Sharad Malik
    Exploiting multi-cycle false paths in the performance optimization of sequential circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:510-517 [Conf]
  92. William K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Valid clocking in wavepipelined circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:518-525 [Conf]
  93. Shinji Kimura, Shigemi Kashima, Hiromasa Haneda
    Precise timing verification of logic circuits under combined delay model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:526-529 [Conf]
  94. Elisabeth Kupitz, Jürgen Tacken
    DECOR - tightly integrated Design Control and Observation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:532-537 [Conf]
  95. Peter Bingley, K. Olav ten Bosch, Pieter van der Wolf
    Incorporating design flow management in a framework based CAD system. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:538-545 [Conf]
  96. Venu Vasudevan, Yves Mathys, Jim Tolar
    DAMOCLES: an observer-based approach to design tracking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:546-551 [Conf]
  97. Kwang-Ting Cheng
    Test generation for delay faults in non-scan and partial scan sequential circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:554-559 [Conf]
  98. Irith Pomeranz, Sudhakar M. Reddy
    An efficient non-enumerative method to estimate path delay fault coverage. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:560-567 [Conf]
  99. Lakshmi N. Reddy, Irith Pomeranz, Sudhakar M. Reddy
    COMPACTEST-II: a method to generate compact two-pattern test sets for combinational logic circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:568-574 [Conf]
  100. Kenneth Y. Yun, David L. Dill
    Automatic synthesis of 3D asynchronous state machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:576-580 [Conf]
  101. Peter A. Beerel, Teresa H. Y. Meng
    Automatic gate-level synthesis of speed-independent circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:581-586 [Conf]
  102. Venkatesh Akella, Ganesh Gopalakrishnan
    SHILPA: a high-level synthesis system for self-timed circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:587-591 [Conf]
  103. Konrad Doll, Frank M. Johannes, Georg Sigl
    Accurate net models for placement improvement by network flow methods. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:594-597 [Conf]
  104. Bernd Schürmann, Joachim Altmeyer, Gerhard Zimmermann
    Three-phase chip planning - an improved top-down chip planning strategy. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:598-605 [Conf]
  105. Peichen Pan, C. L. Liu
    Area minimization for general floorplans. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:606-609 [Conf]
  106. Chung-Hsing Chen, Daniel G. Saab
    Behavioral synthesis for testability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:612-615 [Conf]
  107. Tien-Chien Lee, Wayne Wolf, Niraj K. Jha
    Behavioral synthesis for easy testability in data path scheduling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:616-619 [Conf]
  108. Vivek Chickermane, Jaushin Lee, Janak H. Patel
    A comparative study of design for testability methods using high-level and gate-level descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:620-624 [Conf]
  109. Steven M. Nowick, David L. Dill
    Exact two-level minimization of hazard-free logic with multiple-input changes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:626-630 [Conf]
  110. David S. Kung
    Hazard-non-increasing gate-level optimization algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1992, pp:631-634 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002