The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Design (ICCD) (iccd)
1995 (conf/iccd/1995)

  1. Benjamin W. Wah, Arthur Ieumwananonthachai, Shu Yao, Ting Yu
    Statistical generalization: theory and applications. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:4-0 [Conf]
  2. C. Truzzi, Eric Beyne, E. Ringoot, J. Peeters
    Signal propagation in high-speed MCM circuits. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:12-17 [Conf]
  3. Jimmy Shinn-Hwa Wang, Wayne Wei-Ming Dai
    Transient analysis of coupled transmission lines characterized with the frequency-dependent losses using scattering-parameter based macromodel. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:18-24 [Conf]
  4. Junya Kudoh, Toshiro Takahashi, Yukio Umada, Masaharu Kimura, Shigeru Yamamoto, Youichi Ito
    A CMOS gate array with dynamic-termination GTL I/O circuits. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:25-0 [Conf]
  5. William F. Richardson, Erik Brunvand
    Precise exception handling for a self-timed processor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:32-37 [Conf]
  6. Mark R. Greenstreet
    Implementing a STARI chip. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:38-43 [Conf]
  7. Kenneth Y. Yun, David L. Dill
    A high-performance asynchronous SCSI controller. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:44-0 [Conf]
  8. Jean Paul Calvez, Olivier Pasquier
    Performance assessment of embedded Hw/Sw systems. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:52-57 [Conf]
  9. Ti-Yen Yen, Wayne Wolf
    Performance estimation for real-time distributed embedded systems. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:64-71 [Conf]
  10. Sérgio Vale Aguiar Campos, Edmund M. Clarke, Wilfredo R. Marrero, Marius Minea
    Verifying the performance of the PCI local bus using symbolic techniques. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:72-78 [Conf]
  11. Anand Chavan, Shiu-Kai Chin, Shahid Ikram, Jang Dae Kim, Juin-Yeu Zu
    Extending VLSI design with higher-order logic. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:85-0 [Conf]
  12. Steven Wallace, Nirav Dagli, Nader Bagherzadeh
    Design and implementation of a 100 MHz centralized instruction window for a superscalar microprocessor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:96-101 [Conf]
  13. Kotaro Shimamura, Shigeya Tanaka, Tetsuya Shimomura, Takashi Hotta, Eiki Kamada, Hideo Sawamoto, Teruhisa Shimizu, Kisaburo Nakazawa
    A superscalar RISC processor with pseudo vector processing feature. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:102-109 [Conf]
  14. John-David Wellman, Edward S. Davidson
    The resource conflict methodology for early-stage design space exploration of superscalar RISC processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:110-0 [Conf]
  15. Alexander Dalal, Lavi Lev, Sundari Mitra
    Design of an efficient power distribution network for the UltraSPARC-I microprocessor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:118-123 [Conf]
  16. H. Hao, K. Bhabuthmal
    Clock controller design in SuperSPARC II microprocessor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:124-129 [Conf]
  17. Guillermo Maturana, James L. Ball, Jeffery Gee, Amaresh Iyer, J. Michael O'Connor
    Incas: a cycle accurate model of UltraSPARC. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:130-137 [Conf]
  18. Anirudh Devgan
    Accurate device modeling techniques for efficient timing simulation of integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:138-143 [Conf]
  19. Jay K. Adams, John Alan Miller, Donald E. Thomas
    Execution-time profiling for multiple-process behavioral synthesis. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:144-149 [Conf]
  20. Jainendra Kumar, Noel R. Strader, Jeff Freeman, Michael Miller
    Emulation verification of the Motorola 68060. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:150-0 [Conf]
  21. Joan Carletta, Christos A. Papachristou
    Testability analysis and insertion for RTL circuits based on pseudorandom BIST. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:162-167 [Conf]
  22. Yu Fang, Alexander Albicki
    Efficient testability enhancement for combinational circuit. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:168-179 [Conf]
  23. Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha
    Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:173-179 [Conf]
  24. Franco Fummi, Donatella Sciuto, M. Serro
    Synthesis for testability of large complexity controllers. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:180-0 [Conf]
  25. Carlos Montemayor, Marie Sullivan, Jen-Tien Yen, Pete Wilson, Richard Evers, K. R. Kishore
    The PowerPC 603e microprocessor: an enhanced, low-power, superscalar microprocessor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:196-203 [Conf]
  26. Michael S. Allen, W. Kurt Lewchuk, J. D. Coddington
    A high performance bus and cache controller for PowerPC multiprocessing systems. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:204-211 [Conf]
  27. Charles P. Roth, Frank Levine, Edward H. Welbon
    Performance monitoring on the PowerPC 604 microprocessor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:212-0 [Conf]
  28. Kai-Yuan Chao, D. F. Wong
    Thermal placement for high-performance multichip modules. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:218-223 [Conf]
  29. Glenn Holt, Akhilesh Tyagi
    EPNR: an energy-efficient automated layout synthesis package. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:224-229 [Conf]
  30. Vinod Narayananan, David LaPotin, Rajesh K. Gupta, Gopalakrishnan Vijayan
    PEPPER - a timing driven early floorplanner. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:230-235 [Conf]
  31. Jin-Tai Yan
    Connection-oriented net model and fuzzy clustering techniques for K-way circuit partitioning. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:236-0 [Conf]
  32. Tomasz Kozlowski, Erik L. Dagless, Jonathan Saul
    An enhanced algorithm for the minimization of exclusive-OR sum-of-products for incompletely specified functions. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:244-249 [Conf]
  33. Timothy Kam, Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Implicit state minimization of non-deterministic FSMs. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:250-257 [Conf]
  34. Peter A. Franaszek, Christos J. Georgiou, Chung-Sheng Li
    Adaptive routing in Clos networks. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:266-270 [Conf]
  35. Luis F. G. Sarmenta, Gill A. Pratt, Stephen A. Ward
    Rational clocking [digital systems design]. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:271-278 [Conf]
  36. T. Yokota, H. Matsuoka, K. Okamoto, H. Hirono, A. Hori, S. Sakai
    A prototype router for the massively parallel computer RWC-1. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:279-0 [Conf]
  37. Abdel-Fattah Yousif, Jun Gu
    Concurrent automatic test pattern generation algorithm for combinational circuits. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:286-291 [Conf]
  38. Irith Pomeranz, Sudhakar M. Reddy
    Test generation for multiple state-table faults in finite-state machines. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:292-0 [Conf]
  39. Stephen J. Walsh, John A. Board
    Pollution control caching. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:300-0 [Conf]
  40. Robert Yung, Neil C. Wilhelm
    Caching processor general registers. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:307-312 [Conf]
  41. Murali Kadiyala, Laxmi N. Bhuyan
    A dynamic cache sub-block design to reduce false sharing. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:313-0 [Conf]
  42. Stuart W. Daniel, Jennifer Rexford, James W. Dolter, Kang G. Shin
    A programmable routing controller for flexible communications in point-to-point networks. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:320-331 [Conf]
  43. Jean-Paul Theis, Lothar Thiele
    POM: a processor model for image processing. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:326-331 [Conf]
  44. Andrew Wolfe
    A case study in low-power system-level design. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:332-0 [Conf]
  45. Jin Li, Chuan-lin Wu
    A novel architecture for an ATM switch. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:340-345 [Conf]
  46. Steven E. Butner, David A. Skirmont
    Architecture and design of a 40 gigabit per second ATM switch. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:352-0 [Conf]
  47. Frederik Beeftink, A. J. van Genderen, N. P. van der Meijs
    Accurate and efficient layout-to-circuit extraction for high-speed MOS and bipolar/BiCMOS integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:360-365 [Conf]
  48. Jin-Tai Yan
    An efficient cut-based algorithm on minimizing the number of L-shaped channels for safe routing ordering. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:366-371 [Conf]
  49. Yao-Wen Chang, D. F. Wong, C. K. Wong
    FPGA global routing based on a new congestion metric. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:372-0 [Conf]
  50. Bret Stott, Dave Johnson, Venkatesh Akella
    Asynchronous 2-D discrete cosine transform core processor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:380-385 [Conf]
  51. Chin-Long Wey, Haiyan Wang, Cheng-Ping Wang
    A self-timed redundant-binary number to binary number converter for digital arithmetic processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:386-0 [Conf]
  52. Yao-Wen Chang, D. F. Wong, C. K. Wong
    Design and analysis of FPGA/FPIC switch modules. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:394-401 [Conf]
  53. Shashidhar Thakur, D. F. Wong
    Simultaneous area and delay minimum K-LUT mapping for K-exact networks. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:402-408 [Conf]
  54. Aigo Lu, Erik L. Dagless, Jonathan M. Saul
    DART: delay and routability driven technology mapping for LUT based FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:409-414 [Conf]
  55. Rajeev Murgai, Masahiro Fujita, Fumiyasu Hirose
    Logic synthesis for a single large look-up table. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:415-0 [Conf]
  56. Sudhakar M. Reddy
    Testing-what's missing? An incomplete list of challenges. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:426-0 [Conf]
  57. Ivan P. Radivojevic, Forrest Brewer
    Analysis of conditional resource sharing using a guard-based control representation. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:434-445 [Conf]
  58. Nelson L. Passos, Edwin Hsing-Mean Sha, Liang-Fang Chao
    Multi-dimensional interleaving for time-and-memory design optimization. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:440-445 [Conf]
  59. Srinivas Katkoori, Nand Kumar, Ranga Vemuri
    High level profiling based low power synthesis technique. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:446-0 [Conf]
  60. Chuan-Yu Wang, Kaushik Roy
    Control unit synthesis targeting low-power processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:454-0 [Conf]
  61. Kala Srivatsan, Chaitali Chakrabarti, Lori Lucke
    Low power data format converter design using semi-static register allocation. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:460-465 [Conf]
  62. H. Yamada, T. Hotta, T. Nishiyama, F. Murabayashi, T. Yamauchi, H. Sawamoto
    A 13.3ns double-precision floating-point ALU and multiplier. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:466-0 [Conf]
  63. Hosahalli R. Srinivas, Keshab K. Parhi
    A floating point radix 2 shared division/square root chip. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:472-478 [Conf]
  64. Tzu-Hsi Pan, Hyon-Sok Kay, Youngsun Chun, Chin-Long Wey
    High-radix SRT division with speculation of quotient digits . [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:479-0 [Conf]
  65. Akihiro Tsutsui, Toshiaki Miyazaki, Kazuhisa Yamada, Naohisa Ohta
    Special purpose FPGA for high-speed digital telecommunication systems. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:486-491 [Conf]
  66. Eric Y. Chou, Bing J. Sheu, Tony H. Wu, Robert C. Chang
    VLSI design of densely-connected array processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:492-497 [Conf]
  67. Santanu Dutta, Wayne Wolf, Andrew Wolfe
    VLSI issues in memory-system design for video signal processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:498-0 [Conf]
  68. Farnaz Mounes-Toussi, David J. Lilja
    Write buffer design for cache-coherent shared-memory multiprocessors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:506-511 [Conf]
  69. Chi-Hung Chi, Siu-Chung Lau
    Reducing data access penalty using intelligent opcode-driven cache prefetching. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:512-517 [Conf]
  70. Aaron Goldberg, John A. Trotter
    Interrupt-based hardware support for profiling memory system performance. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:518-0 [Conf]
  71. Miriam Leeser, John W. O'Leary
    Verification of a subtractive radix-2 square root algorithm and implementation. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:526-531 [Conf]
  72. Yatin Vasant Hoskote, Dinos Moundanos, Jacob A. Abraham
    Automatic extraction of the control flow machine and application to evaluating coverage of verification vectors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:532-537 [Conf]
  73. David A. Cyrluk, Mandayam K. Srivas
    Theorem proving: not an esoteric diversion, but the unifying framework for industrial verification. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:538-0 [Conf]
  74. Martin C. Herbordt, Charles C. Weems
    An empirical study of datapath, memory hierarchy, and network in SIMD array architectures. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:546-551 [Conf]
  75. Eddy de Greef, Francky Catthoor, Hugo De Man
    Memory organization for video algorithms on programmable signal processors. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:552-557 [Conf]
  76. Shigeaki Iwasa, Shung Ho Shing, Hisashi Mogi, Hiroshi Nozuwe, Hiroo Hayashi, Osamu Wakamori, Takashi Ohmizo, Kuninori Tanaka, Hiroshi Sakai, Mitsuo Saito
    SSM-MP: more scalability in shared-memory multi-processor. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:558-0 [Conf]
  77. Menghui Zheng, Alexander Albicki
    Low power and high speed multiplication design through mixed number representations. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:566-576 [Conf]
  78. Tan-Li Chou, Kaushik Roy
    Estimation of sequential circuit activity considering spatial and temporal correlations. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:577-0 [Conf]
  79. Ajay J. Daga, William P. Birmingham
    A symbolic-simulation approach to the timing verification of interacting FSMs. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:584-589 [Conf]
  80. Gitanjali Swamy, Robert K. Brayton, Vigyan Singhal
    Incremental methods for FSM traversal. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:590-0 [Conf]
  81. Manish Pandey, Alok Jain, Randal E. Bryant, Derek L. Beatty, Gary York, Samir Jain
    Extraction of finite state machines from transistor netlists by symbolic simulation. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:596-601 [Conf]
  82. Rolf Drechsler, Bernd Becker
    Dynamic minimization of OKFDDs. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:602-0 [Conf]
  83. Minesh B. Amin, Bapiraju Vinnakota
    Data parallel fault simulation. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:610-615 [Conf]
  84. Steven Parkes, Prithviraj Banerjee, Janak H. Patel
    A parallel algorithm for fault simulation based on PROOFS . [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:616-0 [Conf]
  85. Brian Grayson, Saghir A. Shaikh, Stephen A. Szygenda
    Statistics on concurrent fault and design error simulation. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:622-627 [Conf]
  86. Michael S. Hsiao, Janak H. Patel
    A new architectural-level fault simulation using propagation prediction of grouped fault-effects. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:628-0 [Conf]
  87. Ram K. Krishnamurthy, Ramalingam Sridhar
    A CMOS wave-pipelined image processor for real-time morphology . [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:638-0 [Conf]
  88. Hyesook Lim, Earl E. Swartzlander Jr.
    An efficient systolic array for the discrete cosine transform based on prime-factor decomposition . [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:644-649 [Conf]
  89. Abdel Ejnioui, N. Ranganathan
    Systolic algorithms for tree pattern matching. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:650-702 [Conf]
  90. Hirendu Vaishnav, Massoud Pedram
    Logic extraction based on normalized netlengths. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:658-663 [Conf]
  91. Jimmy Shinn-Hwa Wang, Wayne Wei-Ming Dai
    Transformation of min-max optimization to least-square estimation and application to interconnect design optimization. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:664-670 [Conf]
  92. Robert J. Carragher, Masahiro Fujita, Chung-Kuan Cheng
    Simple tree-construction heuristics for the fanout problem . [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:671-679 [Conf]
  93. Hong-Yean Hsieh, Wentai Liu, Ralph K. Cavin III, C. Thomas Gray
    Concurrent timing optimization of latch-based digital systems. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:680-0 [Conf]
  94. Michael J. Schulte, Earl E. Swartzlander Jr.
    A coprocessor for accurate and reliable numerical computations. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:686-0 [Conf]
  95. Chantal Ykman-Couvreur, Bill Lin
    Efficient state assignment framework for asynchronous state graphs. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:692-0 [Conf]
  96. Stefan Radtke, Jens Bargfrede, Walter Anheier
    Distributed automatic test pattern generation with a parallel FAN algorithm. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:698-0 [Conf]
  97. Wai-Chi Fang, Bing J. Sheu, Holger Venus, Rainer Sandau
    Smart-pixel array processors based on optimal cellular neural networks for space sensor applications. [Citation Graph (0, 0)][DBLP]
    ICCD, 1995, pp:703-0 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002