The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
2002 (conf/islped/2002)

  1. Kiyoo Itoh
    Low-voltage memories for power-aware systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:1-6 [Conf]
  2. Lawrence T. Clark, Neil Deutscher, Shay Demmons, Franco Ricci
    Standby power management for a 0.18µm microprocessor. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:7-12 [Conf]
  3. Hyunsik Im
    Physical insight into fractional power dependence of saturation current on gate voltage in advanced short channel MOSFETS (alpha-power law model). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:13-18 [Conf]
  4. Siva Narendra, Vivek De, Shekhar Borkar, Dimitri Antoniadis, Anantha Chandrakasan
    Full-chip sub-threshold leakage power prediction model for sub-0.18 µm CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:19-23 [Conf]
  5. Koichi Nose, Takayasu Sakurai
    Power-conscious interconnect buffer optimization with improved modeling of driver MOSFET and Its implications to bulk and SOI CMOS technology. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:24-29 [Conf]
  6. Vijay Raghunathan, Saurabh Ganeriwal, Curt Schurgers, Mani B. Srivastava
    E2WFQ: an energy efficient fair scheduling policy for wireless systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:30-35 [Conf]
  7. Rex Min, Anantha Chandrakasan
    A framework for energy-scalable communication in high-density wireless networks. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:36-41 [Conf]
  8. Eui-Young Chung, Giovanni De Micheli, Luca Benini
    Contents provider-assisted dynamic voltage scaling for low energy multimedia applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:42-47 [Conf]
  9. Navid Azizi, Andreas Moshovos, Farid N. Najm
    Low-leakage asymmetric-cell SRAM. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:48-51 [Conf]
  10. Zhigang Hu, Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Margaret Martonosi, Douglas W. Clark
    Managing leakage for transient data: decay and quasi-static 4T memory cells. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:52-55 [Conf]
  11. Nikola Nedovic, Marko Aleksic, Vojin G. Oklobdzija
    Conditional pre-charge techniques for power-efficient dual-edge clocking. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:56-59 [Conf]
  12. Fatih Hamzaoglu, Mircea R. Stan
    Circuit-level techniques to control gate leakage for sub-100nm CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:60-63 [Conf]
  13. Ashish Srivastava, Robert Bai, David Blaauw, Dennis Sylvester
    Modeling and analysis of leakage power considering within-die process variations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:64-67 [Conf]
  14. Rusell E. Henning, Chaitali Chakrabarti
    Low-power approach for decoding convolutional codes with adaptive viterbi algorithm approximations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:68-71 [Conf]
  15. Morteza Maleki, Karthik Dantu, Massoud Pedram
    Power-aware source routing protocol for mobile ad hoc networks. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:72-75 [Conf]
  16. Edgar G. Daylight, Sven Wuytack, Chantal Ykman-Couvreur, Francky Catthoor
    Analyzing energy friendly steady state phases of dynamic application execution in terms of sparse data structures. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:76-79 [Conf]
  17. Yan Zhang, John Lach, Kevin Skadron, Mircea R. Stan
    Odd/even bus invert with two-phase transfer for buses with coupling. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:80-83 [Conf]
  18. Sunghyun Lee, Kiyoung Choi, Sungjoo Yoo
    An intra-task dynamic voltage scaling method for SoC design with hierarchical FSM and synchronous dataflow model. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:84-87 [Conf]
  19. Takanori Okuma, Yun Cao, Masanori Muroyama, Hiroto Yasuura
    Reducing access energy of on-chip data memory considering active data bitwidth. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:88-91 [Conf]
  20. Joohee Kim, Conrad H. Ziesler, Marios C. Papaefthymiou
    Energy recovering static memory. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:92-97 [Conf]
  21. Victor V. Zyuban, Stephen V. Kosonocky
    Low power integrated scan-retention mechanism. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:98-102 [Conf]
  22. Sandeep Dhar, Dragan Maksimovic, Bruno Kranzen
    Closed-loop adaptive voltage scaling controller for standard-cell ASICs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:103-107 [Conf]
  23. Amaury Nève, Denis Flandre, Helmut Schettler, Thomas Ludwig 0004, Gerhard Hellner
    Design of a branch-based 64-bit carry-select adder in 0.18 µm partially depleted SOI CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:108-111 [Conf]
  24. Inseok Choi, Hojun Shim, Naehyuck Chang
    Low-power color TFT LCD display for hand-held embedded systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:112-117 [Conf]
  25. Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino
    Discharge current steering for battery lifetime optimization. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:118-123 [Conf]
  26. Osman S. Unsal, Israel Koren, C. Mani Krishna
    Towards energy-aware software-based fault tolerance in real-time systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:124-129 [Conf]
  27. Michael Zhang, Krste Asanovic
    Fine-grain CAM-tag cache resizing using miss tags. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:130-135 [Conf]
  28. Aristides Efthymiou, Jim D. Garside
    An adaptive serial-parallel CAM architecture for low-power cache blocks. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:136-141 [Conf]
  29. Vasily G. Moshnyaga, Koji Inoue, Mizuka Fukagawa
    Reducing energy consumption of video memory by bit-width compression. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:142-147 [Conf]
  30. Koji Inoue, Vasily G. Moshnyaga, Keikichi Murakami
    A history-based I-cache for low-energy multimedia applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:148-153 [Conf]
  31. Daler N. Rakhmatov, Sarma B. K. Vrudhula, Deborah A. Wallach
    Battery lifetime prediction for energy-aware computing. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:154-159 [Conf]
  32. Eren Kursun, Ankur Srivastava, Seda Ogrenci Memik, Majid Sarrafzadeh
    Early evaluation techniques for low power binding. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:160-165 [Conf]
  33. Victor V. Zyuban, Philip N. Strenski
    Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:166-171 [Conf]
  34. Mark S. Lundstrom
    Is nanoelectronics the future of microelectronics? [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:172-177 [Conf]
  35. Tejas Karkhanis, James E. Smith, Pradip Bose
    Saving energy with just in time instruction delivery. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:178-183 [Conf]
  36. Alper Buyuktosunoglu, David H. Albonesi, Pradip Bose, Peter W. Cook, Stanley Schuster
    Tradeoffs in power-efficient issue queue design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:184-189 [Conf]
  37. Yazdan Aghaghiri, Massoud Pedram, Farzan Fallah
    Reducing transitions on memory buses using sector-based encoding technique. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:190-195 [Conf]
  38. Michael C. Huang, Jose Renau, Josep Torrellas
    Energy-efficient hybrid wakeup logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:196-201 [Conf]
  39. Kimiyoshi Usami, Naoyuki Kawabe, Masayuki Koizumi, Katsuhiro Seta, Toshiyuki Furusawa
    Automated selective multi-threshold design for ultra-low standby applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:202-206 [Conf]
  40. Kyu-won Choi, Abhijit Chatterjee
    HA2TSD: hierarchical time slack distribution for ultra-low power CMOS VLSI. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:207-212 [Conf]
  41. Afshin Abdollahi, Massoud Pedram, Farzan Fallah
    Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1, 2. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:213-218 [Conf]
  42. Vojin G. Oklobdzija, Jens Sparsø
    Future directions in clocking multi-ghz systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:219- [Conf]
  43. Ulrich Kremer
    Compilers for power and energy management. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:220- [Conf]
  44. Omid Oliaei
    Oversampled gain-boosting. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:221-226 [Conf]
  45. Simon C. Li, Jimmy C. Cha
    ±0.5V ±1.5V VHF CMOS LV/LP four-quadrant analog multiplier in modified bridged-triode scheme. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:227-232 [Conf]
  46. Jincheol Yoo, Daegyu Lee, Kyusun Choi, Jongsoo Kim
    A power and resolution adaptive flash analog-to-digital converter. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:233-236 [Conf]
  47. Carl De Ranter, Michiel Steyaert
    Design techniques for low power high bandwidth upconversion in CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:237-242 [Conf]
  48. Magnus Ekman, Per Stenström, Fredrik Dahlgren
    TLB and snoop energy-reduction using virtual caches in low-power chip-multiprocessors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:243-246 [Conf]
  49. Ryo Fujioka, Kiyokazu Katayama, Ryotaro Kobayashi, Hideki Ando, Toshio Shimada
    A preactivating mechanism for a VT-CMOS cache using address prediction. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:247-250 [Conf]
  50. Chris H. Kim, Kaushik Roy
    Dynamic Vt SRAM: a leakage tolerant cache memory for low voltage microprocessors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:251-254 [Conf]
  51. Amirali Baniasadi, Andreas Moshovos
    Asymmetric-frequency clustering: a power-aware back-end for high-performance processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:255-258 [Conf]
  52. Takeshi Sakamoto, Takashi Yamada, Mamoru Mukuno, Yoshifumi Matsushita, Yasoo Harada, Hiroto Yasuura
    Power analysis techniques for SoC with improved wiring models. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:259-262 [Conf]
  53. Wael El-Essawy, David H. Albonesi, Balaram Sinharoy
    A microarchitectural-level step-power analysis tool. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:263-266 [Conf]
  54. Ashok K. Murugavel, N. Ranganathan
    Power estimation of sequential circuits using hierarchical colored hardware petri net modeling. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:267-270 [Conf]
  55. Kavel M. Büyüksahin, Farid N. Najm
    High-level area estimation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:271-274 [Conf]
  56. Yu-Lung Hsu, Sying-Jyan Wang
    Retiming-based logic synthesis for low-power. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:275-278 [Conf]
  57. Chunhong Chen, Changjun Kang, Majid Sarrafzadeh
    Activity-sensitive clock tree construction for low power. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:279-282 [Conf]
  58. Tobias Noll, Heinrich Meyr
    Designing SoC's. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:283- [Conf]
  59. Mohammad M. Mansour, Naresh R. Shanbhag
    Low-power VLSI decoder architectures for LDPC codes. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:284-289 [Conf]
  60. David Garrett, Chris Nicol, Andrew J. Blanksby, Chris Howland
    A low power normalized-LMS decision feedback equalizer for a wireless packet modem. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:290-294 [Conf]
  61. Jongsun Park, Woopyo Jeong, Hunsoo Choo, Hamid Mahmoodi-Meimand, Yongtao Wang, Kaushik Roy
    High performance and low power FIR filter design based on sharing multiplication. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:295-300 [Conf]
  62. Shoji Goto, Takashi Yamada, Norihisa Takayama, Yoshifumi Matsushita, Yasoo Harada, Hiroto Yasuura
    A low-power digital matched filter for spread-spectrum systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:301-306 [Conf]
  63. Davide Bertozzi, Luca Benini, Bruno Riccò
    Parametric timing and power macromodels for high level simulation of low-swing interconnects. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:307-312 [Conf]
  64. William C. Athas, Lynn Youngs, Andrew Reinhart
    Compact models for estimating microprocessor frequency and power. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:313-318 [Conf]
  65. Alberto García, Lukusa D. Kabulepa, Manfred Glesner
    Efficient estimation of signal transition activity in MAC architectures. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:319-322 [Conf]
  66. Michael Eiermann, Walter Stechele
    Novel modeling techniques for RTL power estimation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2002, pp:323-328 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002