The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
1997 (conf/itc/1997)

  1. James T. Healy
    Future Management of the Semiconductor Manufacturing Process. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:10- [Conf]
  2. Colin Maunder
    Plug and Play or Plug and Pray: We Have a Right to Know It Will Work (Or Why It Won't). [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:11- [Conf]
  3. Edward I. Cole Jr., Jerry M. Soden, Paiboon Tangyunyong, Patrick L. Candelaria, Richard W. Beegle, Daniel L. Barton, Christopher L. Henderson, Charles F. Hawkins
    Transient Power Supply Voltage (VDDT) Analysis for Detecting IC Defects. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:23-31 [Conf]
  4. J. S. Beasley, S. Pour-Mozafari, D. Huggett, Alan W. Righter, C. J. Apodaca
    iDD Pulse Response Testing Applied to Complex CMOS ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:32-39 [Conf]
  5. James F. Plusquellic, Donald M. Chiarulli, Steven P. Levitan
    Identification of Defective CMOS Devices Using Correlation and Regression Analysis of Frequency Domain Transient Signal Data. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:40-49 [Conf]
  6. Indradeep Ghosh, Niraj K. Jha, Sujit Dey
    A Low-Overhead Design for Testability and Test Generation Technique for Core-Based Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:50-59 [Conf]
  7. Bahram Pouya, Nur A. Touba
    Modifying User-Defined Logic for Test Access to Embedded Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:60-68 [Conf]
  8. Lee Whetsel
    An IEEE 1149.1-Based Test Access Architecture for ICs with Embedded Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:69-78 [Conf]
  9. Takahiro J. Yamaguchi, Masahiro Ishida, Marco Tilgner, Dong Sam Ha
    An Efficient Method for Compressing Test Data. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:79-88 [Conf]
  10. Robert Gage, Ben Brown, John Donaldson, Alexander Joffe
    Hardware Compression Speeds on Bitmap Fail Display. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:89-93 [Conf]
  11. David C. Keezer, R. J. Wenzel
    Low-Cost ATE PinElectronics for Multigigabit-per-Second At-Speed Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:94-100 [Conf]
  12. Andrew Flint
    A Simulation-Based JTAG ATPG Optimized for MCMs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:101-105 [Conf]
  13. Thomas G. Foote, Dale E. Hoffman, William V. Huott, Timothy J. Koprowski, Bryan J. Robbins, Mary P. Kusko
    Testing the 400-MHz IBM Generation-4 CMOS Chip. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:106-114 [Conf]
  14. Otto A. Torreiter, Ulrich Baur, Georg Goecke, Kevin Melocco
    Testing the Enterprise IBM System/390TM Multi Processor. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:115-123 [Conf]
  15. Ted T. Turner
    Capacitive Leadframe Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:124- [Conf]
  16. Chuck Robinson
    Analog AC Harmonic Method for Detecting Solder Opens. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:125-126 [Conf]
  17. Ralf Arnold, Markus Feuser, Horst-Udo Wedekind, Thorsten Bode
    Experiences with Implementation of IDDQ Test for Identification and Automotive Products. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:127-135 [Conf]
  18. Antoni Ferré, Joan Figueras
    IDDQ Characterization in Submicron CMOS. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:136-145 [Conf]
  19. Ali Keshavarzi, Kaushik Roy, Charles F. Hawkins
    Intrinsic Leakage in Low-Power Deep Submicron CMOS ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:146-155 [Conf]
  20. Anne E. Gattiker, Wojciech Maly
    Current Signatures: Application. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:156-165 [Conf]
  21. Harry Hulvershorn
    1149.5: Now It's a Standard, So What? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:166-173 [Conf]
  22. Adam Cron
    IEEE P1149.4-Almost a Standard. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:174-182 [Conf]
  23. Bozena Kaminska, Karim Arabi, I. Bell, José L. Huertas, B. Kim, Adoración Rueda, Mani Soma, Prashant Goteti
    Analog and Mixed-Signal Benchmark Circuits-First Release. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:183-190 [Conf]
  24. Yervant Zorian
    Test Requirements for Embedded Core-Based Systems and IEEE P1500. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:191-199 [Conf]
  25. Theo J. Powell, Dan Cline, Francis Hii
    A 256Meg SDRAM BIST for Disturb Test Application. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:200-208 [Conf]
  26. Jörg E. Vollrath
    Cell Signal Measurement for High-Density DRAMs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:209-216 [Conf]
  27. R. Dean Adams, Edmond S. Cooley, Patrick R. Hansen
    A Self-Test Circuit for Evaluating Memory Sense-Amplifier Signal. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:217-225 [Conf]
  28. A. J. van de Goor, Mike Lin
    The Implementation of Pseudo-Random Memory Tests on Commercial Memory Testers. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:226-235 [Conf]
  29. Kelly A. Ockunzzi, Christos A. Papachristou
    Testability Enhancement for Behavioral Descriptions Containing Conditional Statements. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:236-245 [Conf]
  30. Vivek Chickermane, Kamran Zarrineh
    Addressing Early Design-For-Test Synthesis in a Production Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:246-255 [Conf]
  31. Harbinder Singh, James Beausang, Girish Patankar
    A Symbolic Simulation-Based ANSI/IEEE Std 1149.1 Compliance Checker and BSDL Generator. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:256-264 [Conf]
  32. Toshiharu Asaka, Masaaki Yoshida, Subhrajit Bhattacharya, Sujit Dey
    H-SCAN+: A Practical Low-Overhead RTL Design-for-Testability Technique for Industrial Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:265-274 [Conf]
  33. B. Karen McElfresh
    RF Introduction and Analog Junction Techniques for Finding Opens. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:275- [Conf]
  34. Stig Oresjo
    Unpowered Opens Test with X-Ray Laminography. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:276- [Conf]
  35. Douglas W. Raymond
    Finding Opens with Optics. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:277- [Conf]
  36. Carol Stolicny, Richard Davies, Pamela McKernan, Tuyen Truong
    Manufacturing Pattern Development for the Alpha 21164 Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:278-285 [Conf]
  37. Jeff Brauch, Jay Fleischman
    Design of Cache Test Hardware on the HP PA8500. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:286-293 [Conf]
  38. Adrian Carbine, Derek Feltham
    Pentium® Pro Processor Design for Test and Debug. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:294-303 [Conf]
  39. Yeoh Eng Hong, Martin Tay Tiong We
    The Application of Novel Failure Analysis Techniques for Advanced Multi-Layered CMOS Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:304-309 [Conf]
  40. Christopher L. Henderson, Jerry M. Soden
    Signature Analysis for IC Diagnosis and Failure Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:310-318 [Conf]
  41. Phil Nigh, Donato Forlenza, Franco Motika
    Application and Analysis of IDDQ Diagnostic Software. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:319-327 [Conf]
  42. Krishnendu Chakrabarty, Jian Liu, Minyao Zhu, Brian T. Murray
    Test Width Compression for Built-In Self Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:328-337 [Conf]
  43. Christophe Fagot, Patrick Girard, Christian Landrault
    On Using Machine Learning for Logic BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:338-346 [Conf]
  44. Gundolf Kiefer, Hans-Joachim Wunderlich
    Using BIST Control for Pattern Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:347-355 [Conf]
  45. Von-Kyoung Kim, Tom Chen, Mick Tegethoff
    ASIC Manufacturing Test Cost Prediction at Early Design Stage. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:356-361 [Conf]
  46. Adit D. Singh, Phil Nigh, C. Mani Krishna
    Screening for Known Good Die (KGD) Based on Defect Clustering: An Experimental Study. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:362-369 [Conf]
  47. K. E. Newman, David C. Keezer
    A Low-Cost Massively-Parallel Interconnect Test Method for MCM Substrates. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:370-378 [Conf]
  48. Takahiro J. Yamaguchi, Mani Soma
    Dynamic Testing of ADCs Using Wavelet Transforms. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:379-388 [Conf]
  49. Stephen K. Sunter, Naveena Nagi
    A Simplified Polynomial-Fitting Algorithm for DAC and ADC BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:389-395 [Conf]
  50. Benoit Dufort, Gordon W. Roberts
    Signal Generation Using Periodic Single-and Multi-Bit Sigma-Delta Modulated Streams. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:396-405 [Conf]
  51. R. Scott Fetherston, Imtiaz P. Shaik, Siyad C. Ma
    Testability Features of AMD-K6TM Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:406-413 [Conf]
  52. Carol Pyron, Javier Prado, James Golab
    Next-Generation PowerPCTM Microprocessor Test Strategy Improvements. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:414-423 [Conf]
  53. Michael Mateja, Alfred L. Crouch, Renny Eisele, Grady Giles, Dale Amason
    A Case Study of the Test Development for the 2nd Generation ColdFire® Microprocessors. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:424-432 [Conf]
  54. W. Kent Fuchs
    Logic Diagnosis-Diversion or Necessity? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:433- [Conf]
  55. Paul G. Ryan
    Logical Diagnosis Solutions Must Drive Yield Improvement. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:434- [Conf]
  56. Jerry M. Soden, Christopher L. Henderson
    IC Diagnosis: Industry Issues. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:435- [Conf]
  57. Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar
    Design for Primitive Delay Fault Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:436-445 [Conf]
  58. Jacob Savir
    Scan Latch Design for Delay Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:446-453 [Conf]
  59. Ramesh C. Tekumalla, Premachandran R. Menon
    Delay Testing with Clock Control: An Alternative to Enhanced Scan. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:454-462 [Conf]
  60. Osama K. Abu-Shahla, Ian M. Bell
    An On-Line Self-Testing Switched-Current Integrator. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:463-470 [Conf]
  61. A. L. Burress, Parag K. Lala
    On-Line Testable Logic Desgin for FPGA Implementation. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:471-478 [Conf]
  62. Charles E. Stroud, M. Ding, S. Seshadri, Ramesh Karri, I. Kim, S. Roy, S. Wu
    A Parameterized VHDL Library for On-Line Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:479-488 [Conf]
  63. Kenneth P. Parker, John E. McDermid, Rodney A. Browen, Kozo Nuriya, Katsuhiro Hirayama, Akira Matsuzawa
    Design, Fabrications and Use of Mixed-Signal IC Testability Structures. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:489-498 [Conf]
  64. Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou
    Parasitic Effect Removal for Analog Measurement in P1149.4 Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:499-508 [Conf]
  65. José Machado da Silva, Ana C. Leão, José Silva Matos, José Carlos Alves
    Implementation of Mixed Current/Voltage Testing Using the IEEE P1149.4 Infrastructure. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:509-517 [Conf]
  66. James J. Brandes
    High-Performance Production Test Contractors for Fine-Pitch Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:518-526 [Conf]
  67. Nicholas Sporck
    A New Probe Card Technology Using Compliant MicrospringsTM. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:527-532 [Conf]
  68. R. Dennis Bates
    The Search for the Universal Probe Card Solution. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:533-538 [Conf]
  69. Charles E. Stroud, Eric Lee, Miron Abramovici
    BIST-Based Diagnostics of FPGA Logic Blocks. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:539-547 [Conf]
  70. Kun-Han Tsai, Malgorzata Marek-Sadowska, Janusz Rajski
    Scan-Encoded Test Pattern Generation for BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:548-556 [Conf]
  71. Sichao Wei, Pranab K. Nag, Ronald D. Blanton, Anne E. Gattiker, Wojciech Maly
    To DFT or Not to DFT? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:557-566 [Conf]
  72. Eberhard Böhl, Thomas Lindenkreuz, R. Stephan
    The Fail-Stop Controller AE11. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:567-577 [Conf]
  73. Karim Arabi, Bozena Kaminska
    Design and Realization of an Accurate Built-In Current Sensor for On-Line Power Dissipation Measurement and IDDQ Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:578-586 [Conf]
  74. Cecilia Metra, Michele Favalli, Bruno Riccò
    On-Line Testing Scheme for Clock's Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:587-596 [Conf]
  75. Haluk Konuk, F. Joel Ferguson
    Oscillation and Sequential Behavior Caused by Interconnect Opens in Digital CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:597-606 [Conf]
  76. Michel Renovell, Yves Bertrand
    Test Strategy Sensitivity to Defect Parameters. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:607-616 [Conf]
  77. Gilbert Vandling, Thomas Bartenstein
    Fault Model Extension for Diagnosing Custom Cell Fails. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:617-624 [Conf]
  78. Stephen K. Sunter
    P1149.4-Problem or Solution for Mixed-Signal IC Design? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:625- [Conf]
  79. Mathieu Gagnon, Bozena Kaminska
    Optical Communication Channel Test Using BIST Approaches. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:626-635 [Conf]
  80. William J. Hughes III
    System-Level Boundary-Scan in a Highly Integrated Switch. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:636-639 [Conf]
  81. Jiun-Lang Huang, Kwang-Ting Cheng
    Analog Fault Diagnosis for Unpowered Circuit Boards. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:640-648 [Conf]
  82. Bret A. Stewart
    Board Level Automated Fault Injection for Fault Coverage and Diagnostic Efficiency. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:649-654 [Conf]
  83. Robert E. Huston
    Pin Margin Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:655-662 [Conf]
  84. Steve Westfall
    Memory Test-Debugging Test Vectors Without ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:663-669 [Conf]
  85. Lakshmikantha S. Prabhu, Daniel A. Rosenthal
    A DSP-Based Feedback Loop for Mixed-Signal VLSI Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:670-674 [Conf]
  86. Yuhai Ma, Wanchun Shi
    OLDEVDTP: A Novel Environment for Off-Line Debugging of VLSI Device Test Programs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:675-684 [Conf]
  87. Richard McGowen, F. Joel Ferguson
    Incorporating Physical Design-for-Test into Routing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:685-693 [Conf]
  88. Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer
    Parameterizable Testing Scheme for FIR Filters. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:694-703 [Conf]
  89. Sridhar Narayanan, Ashutosh Das
    An Efficient Scheme to Diagnose Scan Chains. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:704-713 [Conf]
  90. Subhasish Mitra, LaNae J. Avra, Edward J. McCluskey
    Scan Synthesis for One-Hot Signals. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:714-722 [Conf]
  91. Elizabeth M. Rudnick, Janak H. Patel
    Putting the Squeeze on Test Sequences. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:723-732 [Conf]
  92. M. H. Konijnenburg, J. Th. van der Linden, A. J. van de Goor
    Sequential Test Generation with Advanced Illegal State Search. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:733-742 [Conf]
  93. Raghuram S. Tupuri, Jacob A. Abraham
    A Novel Functional Test Generation Method for Processors Using Commercial ATPG. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:743-752 [Conf]
  94. Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda
    Testability Analysis and ATPG on Behavioral RT-Level VHDL. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:753-759 [Conf]
  95. Arnold Frisch, Thomas Almy
    HABIST: Histogram-Based Analog Built-In Self-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:760-767 [Conf]
  96. Thomas M. Bocek, Tuyen D. Vu, Mani Soma, Jason D. Moffatt
    Experimental Results for Current-Based Analog Scan. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:768-775 [Conf]
  97. Benoît R. Veillette, Gordon W. Roberts
    On-Chip Measurement of the Jitter Transfer Function of Charge-Pump Phase-Locked Loops. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:776-785 [Conf]
  98. Karim Arabi, Bozena Kaminska
    Oscillation Built-In Self Test (OBIST) Scheme for Functional and Structural Testing of Analog and Mixed-Signal Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:786-795 [Conf]
  99. Bob Cometta, Jan Witte
    Low Current and Low Voltages-The High-End OP AMP Testing Challenge. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:796-801 [Conf]
  100. Minh Quach, Kim Harper
    Real-Time In-situ Monitoring and Characterization of Production Wafer Probing Process. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:802-808 [Conf]
  101. Weiyu Chen, Melvin A. Breuer, Sandeep K. Gupta
    Analytic Models for Crosstalk Delay and Pulse Analysis Under Non-Ideal Inputs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:809-818 [Conf]
  102. Rajesh Raina, Charles Njinda, Robert F. Molyneaux
    How Seriously Do You Take Your Possible-Detect Faults? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:819-828 [Conf]
  103. Ajay Khoche, Erik Brunvand
    ACT: A DFT Tool for Self-Timed Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:829-837 [Conf]
  104. James P. Cusey, Janak H. Patel
    BART: A Bridging Fault Test Generation for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:838-847 [Conf]
  105. Seongmoon Wang, Sandeep K. Gupta
    DS-LFSR: A New BIST TPG for Low Heat Dissipation. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:848-857 [Conf]
  106. J. Li, X. Sun, K. Soon
    Tree-Structured Linear Cellular Automata and Their Applications as PRPGs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:858-867 [Conf]
  107. Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian, Mihalis Psarakis
    An Effective BIST Scheme for Arithmetic Logic Units. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:868-877 [Conf]
  108. Srikanth Venkataraman, W. Kent Fuchs
    Diagnosis of Bridging Faults in Sequential Circuits Using Adaptive Simulation, State Storage, and Path-Tracing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:878-886 [Conf]
  109. David B. Lavo, Tracy Larrabee, F. Joel Ferguson, Brian Chess, Jayashree Saxena, Kenneth M. Butler
    Bridging Fault Diagnosis in the Absence of Physical Information. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:887-893 [Conf]
  110. Janusz Rajski, Jerzy Tyszer
    Fault Diagnosis in Scan-Based BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:894-902 [Conf]
  111. Ramakrishna Voorakaranam, Sudip Chakrabarti, Junwei Hou, Alfred V. Gomes, Sasikumar Cherubal, Abhijit Chatterjee, William H. Kao
    Hierarchical Specification-Driven Analog Fault Modeling for Efficient Fault Simulation and Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:903-912 [Conf]
  112. Chen-Yang Pan, Kwang-Ting Cheng
    Fault Macromodeling for Analog/Mixed-Signal Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:913-922 [Conf]
  113. Abhijeet Kolpekwar, Ronald D. Blanton
    Development of a MEMS Testing Methodology. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:923-931 [Conf]
  114. Mitch Aigner
    Embedded At-Speed Test Probe. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:932-937 [Conf]
  115. Yukiya Miura
    An IDDQ Sensor Circuit for Low-Voltage ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:938-947 [Conf]
  116. Tony Savor, Rudolph E. Seviora
    Supervisors for Testing Non-Deterministically Specified Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:948-953 [Conf]
  117. Li-C. Wang, Magdy S. Abadir
    A New Validation Methodology Combining Test and Formal Verification for PowerPCTM Microprocessor Arrays. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:954-963 [Conf]
  118. Richard Raimi, James Lear
    Analyzing a PowerPCTM620 Microprocessor Silicon Failure Using Model Checking. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:964-973 [Conf]
  119. Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, David Ihsin Cheng
    Error Tracer: A Fault-Simualtion-Based Approach to Design Error Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:974-981 [Conf]
  120. Soumitra Bose, Vishwani D. Agrawal, Thomas G. Szymanski
    Algorithms for Switch Level Delay Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:982-991 [Conf]
  121. Zhongcheng Li, Robert K. Brayton, Yinghua Min
    Efficient Identification of Non-Robustly Untestable Path Delay Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:992-997 [Conf]
  122. Tapan J. Chakraborty, Vishwani D. Agrawal
    Effective Path Selection for Delay Fault Testing of Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:998-1003 [Conf]
  123. Gregory A. Maston
    Structuring STIL for Incremental Test Development. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1004-1010 [Conf]
  124. Peter Wohl, John A. Waicukauski
    A Unified Interface for Scan Test Generation Based on STIL. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1011-1019 [Conf]
  125. John W. Sheppard, Leslie A. Orlidge
    Artificial Intelligence Exchange and Service Tie to All Test Environments (AI-ESTATE)-A New Standard for System Diagnostics. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1020-1029 [Conf]
  126. Dave Unzicker, Michael Bonham, Rey Rincon
    Advances in Probe Technology: Best Sessions of the'97 Southwest Test Workshop. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1030- [Conf]
  127. Steven F. Oakland
    Why Would an ASIC Foundry Accept Anything Less than Full Scan? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1031- [Conf]
  128. Jeff Rearick
    The Case of Partial Scan. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1032- [Conf]
  129. Douglas W. Raymond, Dominic F. Haigh
    Why Automate Optical Inspection? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1033- [Conf]
  130. William R. Simpson
    Ethics, Professionalism and Accountability in Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1034- [Conf]
  131. Richard Pye
    Vision Inspection: Meeting the Promise? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1035- [Conf]
  132. Donald Burr
    Solder Paste Inspection: Process Control for Defect Reduction. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1036- [Conf]
  133. Phil Nigh, Wayne M. Needham, Kenneth M. Butler, Peter C. Maxwell, Robert C. Aitken, Wojciech Maly
    So What Is an Optimal Test Mix? A Discussion of the SEMATECH Methods Experiment. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1037-1038 [Conf]
  134. Thomas L. Anderson
    Thoughts on Core Integration and Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1039- [Conf]
  135. Rudy Garcia
    Embedded Core Test Plug-n-Play: Is It Achievable? [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1040- [Conf]
  136. Lee Whetsel
    Test Access of TAP'ed & Non-TAP'ed Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1041- [Conf]
  137. Michael Nicolaidis
    On-Line Testing for VLSI. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1042- [Conf]
  138. Anne Meixner, Jash Banik
    Weak Write Test Mode: An SRAM Cell Stability Design for Test Technique. [Citation Graph (0, 0)][DBLP]
    ITC, 1997, pp:1043-1052 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002