The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
2003 (conf/itc/2004)


  1. Technical Program Committee. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  2. Technical Paper Reviewers. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  3. International Test Conference - Copyright. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  4. TTTC: Test Technology Technical Council. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  5. Welcoming Message. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  6. Steering Committee and Subcommittees. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  7. Ned Kornfield Memorial. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  8. International Test Conference - Cover. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  9. 2003 Paper Awards. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  10. 2005 Call for Papers. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  11. ITC Technical Paper Evaluation and Selection Process. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]

  12. International Test Conference - Title Page. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:- [Conf]
  13. Bernd Koenemann
    Test In the Era of "What You see Is NOT What You Get". [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:12- [Conf]
  14. Robert Madge
    New Test Paradigms for Yield and Manufacturability. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:13- [Conf]
  15. Benoit Provost, Chee How Lim, Mo Bashir, Ali Muhtaroglu, Tiffany Huang, Kathy Tian, Mubeen Atha, Cangsang Zhao, Harry Muljono
    AC IO Loopback Design for High Speed µProcessor IO Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:23-30 [Conf]
  16. Jing Zeng, Magdy S. Abadir, A. Kolhatkar, G. Vandling, Li-C. Wang, Jacob A. Abraham
    On Correlating Structural Tests with Functional Tests for Speed Binning of High Performance Design. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:31-37 [Conf]
  17. David M. Wu, Mike Lin, Madhukar Reddy, Talal Jaber, Anil Sabbavarapu, Larry Thatcher
    An Optimized DFT and Test Pattern Generation Strategy for an Intel High Performance Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:38-47 [Conf]
  18. Valentin Gherman, Hans-Joachim Wunderlich, Harald P. E. Vranken, Friedrich Hapke, Michael Wittke, Michael Garbers
    Efficient Pattern Mapping for Deterministic Logic BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:48-56 [Conf]
  19. Liyang Lai, Janak H. Patel, Thomas Rinderknecht, Wu-Tung Cheng
    Logic BIST with Scan Chain Segmentation. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:57-66 [Conf]
  20. Omar I. Khan, Michael L. Bushnell
    Spectral Analysis for Statistical Response Compaction During Built-In Self-Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:67-76 [Conf]
  21. Takahiro J. Yamaguchi, Masahiro Ishida, Kiyotaka Ichiyama, Mani Soma, Christian Krawinkel, Katsuaki Ohsawa, Masao Sugai
    A Real-Time Jitter Measurement Board for High-Performance Computer and Communication Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:77-84 [Conf]
  22. Karen Taylor, Bryan Nelson, Alan Chong, Hieu Nguyen, Henry C. Lin, Mani Soma, Hosam Haggag, Jeff Huard, Jim Braatz
    Experimental Results for High-Speed Jitter Measurement Technique. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:85-94 [Conf]
  23. Stephen K. Sunter, Aubin Roy, Jean-Francois Cote
    An Automated, Complete, Structural Test Solution for SERDES. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:95-104 [Conf]
  24. Benjamin M. Mauck, Vishnumohan Ravichandran, Usman Azeez Mughal
    A Design for Test Technique for Parametric Analysis of SRAM: On-Die Low Yield Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:105-113 [Conf]
  25. A. J. van de Goor, Said Hamdioui, Rob Wadsworth
    Detecting Faults in the Peripheral Circuits and an Evaluation of SRAM Tests. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:114-123 [Conf]
  26. Chin-Lung Su, Rei-Fu Huang, Cheng-Wen Wu, Chien-Chung Hung, Ming-Jer Kao, Yeong-Jar Chang, Wen Ching Wu
    MRAM Defect Analysis and Fault Modeli. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:124-133 [Conf]
  27. Stas Polonsky, Keith A. Jenkins, Alan J. Weger, Shinho Cho
    CMOS IC diagnostics using the luminescence of OFF-state leakage currents. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:134-139 [Conf]
  28. Peilin Song, Franco Stellari, Alan J. Weger, Tian Xia
    A Novel Scan Chain Diagnostics Technique Based on Light Emission from Leakage Current. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:140-147 [Conf]
  29. Vijay Reddy, John Carulli, Anand T. Krishnan, William Bosch, Brendan Burgess
    Impact of Negative Bias Temperature Instability on Product Parametric Drift. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:148-155 [Conf]
  30. Heon C. Kim, Hong Shin Jun, Xinli Gu, Sung Soo Chung
    At-Speed Interconnect Test and Diagnosis of External Memories on a System. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:156-162 [Conf]
  31. Kendrick Baker, Mehrdad Nourani
    Interconnect Test Pattern Generation Algorithm For Meeting Device and Global SSO Limits With Safe Initial Vectors. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:163-172 [Conf]
  32. Hong Shin Jun, Sung Soo Chung, Sang H. Baeg
    Removing JTAG Bottlenecks in System Interconnect Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:173-180 [Conf]
  33. Manu Rehani, David Abercrombie, Robert Madge, Jim Teisher, Jason Saw
    ATE Data Collection - A comprehensive requirements proposal to maximize ROI of test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:181-189 [Conf]
  34. Jonathan Hops, Brian Swing, Brian Phelps, Bruce Sudweeks, John Pane, James Kinslow
    Non-Deterministic DUT Behavior During Functional Testing of High Speed Serial Busses: Challenges and Solutions. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:190-196 [Conf]
  35. Peter Patten
    Divide and Conquer based Fast Shmoo algorithms. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:197-202 [Conf]
  36. Robert Madge, Brady Benware, Ritesh P. Turakhia, W. Robert Daasch, Chris Schuermyer, Jens Ruffler
    In Search of the Optimum Test Set - Adaptive Test Methods for Maximum Defect Coverage and Lowest Test Cost. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:203-212 [Conf]
  37. Bram Kruseman, Ananta K. Majhi, Guido Gronthoud, Stefan Eichenberger
    On Hazard-free Patterns for Fine-delay Fault Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:213-222 [Conf]
  38. Wangqi Qiu, Jing Wang, D. M. H. Walker, Divya Reddy, Zhuo Li, Weiping Shi, Hari Balachandran
    K Longest Paths Per Gate (KLPG) Test Generation for Scan-Based Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:223-231 [Conf]
  39. Saravanan Padmanaban, Spyros Tragoudas
    A Critical Path Selection Method for Delay Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:232-241 [Conf]
  40. Haihua Yan, Adit D. Singh
    Evaluating the Effectiveness of Detecting Delay Defects in the Slack Interval: A Simulation Study. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:242-251 [Conf]
  41. Ashwin Raghunathan, Ji Hwan (Paul) Chun, Jacob A. Abraham, Abhijit Chatterjee
    Quasi-Oscillation Based Test for Improved Prediction of Analog Performance Parameters. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:252-261 [Conf]
  42. Abhishek Singh, Chintan Patel, Jim Plusquellic
    On-Chip Impulse Response Generation for Analog and Mixed-Signal Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:262-270 [Conf]
  43. Foster F. Dai, Charles E. Stroud, Dayu Yang, Shuying Qi
    Automatic Linearity (IP3) Test with Built-in Pattern Generator and Analyzer. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:271-280 [Conf]
  44. Geert Seuren, Tom Waayers
    Extending the Digital Core-based Test Methodology to Support Mixed-Signal. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:281-289 [Conf]
  45. Bram Kruseman, Ananta K. Majhi, Camelia Hora, Stefan Eichenberger, Johan Meirlevede
    Systematic Defects in Deep Sub-Micron Technologies. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:290-299 [Conf]
  46. Chris Schuermyer, Jens Ruffler, W. Robert Daasch
    Minimum Testing Requirements to Screen Temperature Dependent Defects. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:300-308 [Conf]
  47. Phil Nigh, Anne E. Gattiker
    Random and Systematic Defect Analysis Using IDDQ Signature Analysis for Understanding Fails and Guiding Test Decisions. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:309-318 [Conf]
  48. Chintan Patel, Abhishek Singh, Jim Plusquellic
    Defect detection under Realistic Leakage Models using Multiple IDDQ Measurement. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:319-328 [Conf]
  49. Matthew L. King, Kewal K. Saluja
    Testing Micropipelined Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:329-338 [Conf]
  50. Bo Yang, Kaijie Wu, Ramesh Karri
    Scan Based Side Channel Attack on Dedicated Hardware Implementations of Data Encryption Standard. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:339-344 [Conf]
  51. C. P. Ravikumar, Graham Hetherington
    A Holistic Parallel and Hierarchical Approach towards Design-For-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:345-354 [Conf]
  52. Kenneth M. Butler, Jayashree Saxena, Tony Fryars, Graham Hetherington
    Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:355-364 [Conf]
  53. Kenneth P. Parker
    A New Probing Technique for High-Speed/High-Density Printed Circuit Boards. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:365-374 [Conf]
  54. Rodger Schuttert, D. C. L. van Geest, A. Kumar
    On-Chip Mixed-Signal Test Structures Re-used for Board Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:375-383 [Conf]
  55. Carlos Michel, Rosa D. Reinosa
    Test Strategy Cost Model Innovations. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:384-392 [Conf]
  56. Amit Verma, Charles Robinson, Steve Butkovich
    Production Test Effectiveness of Combined Automated Inspection and ICT Test Strategies. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:393-402 [Conf]
  57. Rochit Rajsuman, Masuda Noriyuki
    Open Architecture Test System: System Architecture and Design. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:403-412 [Conf]
  58. Ankan K. Pramanick, Ramachandran Krishnaswamy, Mark Elston, Toshiaki Adachi, Harsanjeet Singh, Bruce R. Parnas
    Test Programming Environment in a Modular, Open Architecture Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:413-422 [Conf]
  59. David Dowding, Ernie Wahl, Don Organ
    Extending STIL 1450 Standard for Test Program Flow. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:423-431 [Conf]
  60. Subhasish Mitra, Steven S. Lumetta, Michael Mitzenmacher
    X-Tolerant Signature Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:432-441 [Conf]
  61. Yuyi Tang, Hans-Joachim Wunderlich, Harald P. E. Vranken, Friedrich Hapke, Michael Wittke, Piet Engelke, Ilia Polian, Bernd Becker
    X-Masking During Logic BIST and Its Impact on Defect Coverage. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:442-451 [Conf]
  62. Vivek Chickermane, Brian Foutz, Brion L. Keller
    Channel Masking Synthesis for Efficient On-Chip Test Compression. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:452-461 [Conf]
  63. Jason G. Brown, R. D. (Shawn) Blanton
    CAEN-BIST: Testing the NanoFabric. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:462-471 [Conf]
  64. Wenjing Rao, Alex Orailoglu, Ramesh Karri
    Fault Tolerant Arithmetic with Applications in Nanotechnology based Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:472-478 [Conf]
  65. Jing Huang, Mehdi Baradaran Tahoori, Fabrizio Lombardi
    Routability and Fault Tolerance of FPGA Interconnect Architectures. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:479-488 [Conf]
  66. Irith Pomeranz, Srikanth Venkataraman, Sudhakar M. Reddy
    Z-DFD: Design-for-Diagnosability Based on the Concept of Z-Detection. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:489-497 [Conf]
  67. Grzegorz Mrugalski, Chen Wang, Artur Pogiel, Jerzy Tyszer, Janusz Rajski
    Fault Diagnosis in Designs with Convolutional Compactors. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:498-507 [Conf]
  68. Thomas J. Vogels, Thomas Zanon, Rao Desineni, R. D. (Shawn) Blanton, Wojciech Maly, Jason G. Brown, Jeffrey E. Nelson, Y. Fei, X. Huang, Padmini Gopalakrishnan, Mahim Mishra, V. Rovner, S. Tiwary
    Benchmarking Diagnosis Algorithms With a Diverse Set of IC Deformations. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:508-517 [Conf]
  69. Brion L. Keller, Mick Tegethoff, Thomas Bartenstein, Vivek Chickermane
    An Economic Analysis and ROI Model for Nanometer Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:518-524 [Conf]
  70. Xinli Gu, Cyndee Wang, Abby Lee, Bill Eklow, Kun-Han Tsai, Jan Arild Tofte, Mark Kassab, Janusz Rajski
    Realizing High Test Quality Goals with Smart Test Resource Usage. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:525-533 [Conf]
  71. Pamela S. Gillis, Francis Woytowich, Andrew Ferko, Kevin McCauley
    Low Overhead Delay Testing of ASICS. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:534-542 [Conf]
  72. Saghir A. Shaikh
    IEEE Std 1149.6 Implementation for a XAUI-to-Serial 10-Gbps Transceiver. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:543-550 [Conf]
  73. Juha Häkkinen, Pekka Syri, Juha-Veikko Voutilainen, Markku Moilanen
    A Frequency Mixing and Sub-Sampling Based RF-Measurement Apparatus for IEEE 1149.4. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:551-559 [Conf]
  74. Jeff Rearick, Sylvia Patterson, Krista Dorner
    Integrating Boundary Scan into Multi-GHz I/O Circuitry. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:560-566 [Conf]
  75. Masashi Shimanouchi
    Timing Accuracy Enhancement by a New Calibration Scheme for Multi-Gbps ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:567-576 [Conf]
  76. Ahmed Rashid Syed
    Automatic Delay Calibration Method for Multi-channel CMOS Formatter. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:577-586 [Conf]
  77. A. T. Sivaram, Pascal Pierra, Shida Sheibani, Nancy Wang-Lee, Jorge E. Solorzano, Lily Tran
    Active Tester Interface Unit Design For Data Collection. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:587-596 [Conf]
  78. Feng Shi, Yiorgos Makris
    SPIN-SIM: Logic and Fault Simulation for Speed-Independent Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:597-606 [Conf]
  79. Kameshwar Chandrasekar, Michael S. Hsiao
    Decision Selection and Learning for an All-Solutions ATPG Engine. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:607-616 [Conf]
  80. Junwu Zhang, Michael L. Bushnell, Vishwani D. Agrawal
    On Random Pattern Generation with the Selfish Gene Algorithm for Testing Digital Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:617-626 [Conf]
  81. Dave Mark, Jenny Fan
    Localizing Open Interconnect Defects using Targeted Routing in FPGA's. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:627-634 [Conf]
  82. Mehdi Baradaran Tahoori, Subhasish Mitra
    Interconnect Delay Testing of Designs on Programmable Logic Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:635-644 [Conf]
  83. Mehdi Baradaran Tahoori
    Application-Dependent Diagnosis of FPGAs. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:645-654 [Conf]
  84. A. Cabbibo, J. Conder, M. Jacobs
    Feed Forward Test Methodology Utilizing Device Identification. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:655-660 [Conf]
  85. Leendert M. Huisman, Maroun Kassab, Leah Pastel
    Data Mining Integrated Circuit Fails with Fail Commonalities. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:661-668 [Conf]
  86. M. Enamul Amyeen, Srikanth Venkataraman, Ajay Ojha, Sangbong Lee
    Evaluation of the Quality of N-Detect Scan ATPG Patterns on a Processor. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:669-678 [Conf]
  87. Sandip Kundu, T. M. Mak, Rajesh Galivanche
    Trends in manufacturing test methods and their implications. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:679-687 [Conf]
  88. Bart Vermeulen, Camelia Hora, Bram Kruseman, Erik Jan Marinissen, Robert Van Rijsinge
    Trends in Testing Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:688-697 [Conf]
  89. Alfred L. Crouch
    Future Trends in Test: The Adoption and Use of Low Cost Structural Testers. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:698-703 [Conf]
  90. Bill Eklow, Anoosh Hosseini, Chi Khuong, Shyam Pullela, Toai Vo, Hien Chau
    Simulation Based System Level Fault Insertion Using Co-verification Tools. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:704-710 [Conf]
  91. Chen-Huan Chiang, Paul J. Wheatley, Kenneth Y. Ho, Ken L. Cheung
    Testing and Remote Field Update of Distributed Base Stations in a Wireless Network. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:711-718 [Conf]
  92. Yujun Zhang, Zhongcheng Li
    IPV6 Conformance Testing: Theory and Practice. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:719-727 [Conf]
  93. Mohamed Hafed, Antonio H. Chan, Geoffrey Duerden, Bardia Pishdad, Clarence Tam, Sebastien Laberge, Gordon W. Roberts
    A High-Throughput 5 GBps Timing and Jitter Test Module Featuring Localized Processing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:728-737 [Conf]
  94. A. T. Sivaram, Masashi Shimanouchi, Howard Maassen, Robert Jackson
    Tester Architecture For The Source Synchronous Bus. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:738-747 [Conf]
  95. David C. Keezer, Dany Minier, F. Binette
    Modular Extension of ATE to 5 Gbps. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:748-757 [Conf]
  96. Hans T. Heineken, Jitendra Khare
    Test Strategies For a 40Gbps Framer SoC. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:758-763 [Conf]
  97. Bernd Laquai
    A Model-based Test Approach for Testing High-Speed PLLs and Phase Regulation Circuitry in SOC Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:764-772 [Conf]
  98. K. Nikila, Rubin A. Parekhji
    DFT for Test Optimisations in a Complex Mixed-Signal SOC - Case Study on TI's TNETD7300 ADSL Modem Device. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:773-782 [Conf]
  99. Erkan Acar, Sule Ozev
    Delayed-RF Based Test Development for FM Transceivers Using Signature Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:783-792 [Conf]
  100. Dana Brown, John Ferrario, Randy Wolf, Jing Li, Jayendra Bhagat
    RF Testing on a Mixed Signal Tester. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:793-800 [Conf]
  101. Soumendu Bhattacharya, Abhijit Chatterjee
    Use of Embedded Sensors for Built-In-Test of RF Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:801-809 [Conf]
  102. Alper Sen, Vijay K. Garg, Jacob A. Abraham, Jayanta Bhadra
    Formal Verification of a System-on-Chip Using Computation Slicing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:810-819 [Conf]
  103. Qingwei Wu, Michael S. Hsiao
    State Variable Extraction to Reduce Problem Complexity for ATPG and Design Validation. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:820-829 [Conf]
  104. Geeng-Wei Lee, Juinn-Dar Huang, Jing-Yang Jou, Chun-Yao Wang
    Verification on Port Connections. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:830-836 [Conf]
  105. Charles E. Stroud, John Sunwoo, Srinivas M. Garimella, Jonathan Harris
    Built-In Self-Test for System-on-Chip: A Case Study. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:837-846 [Conf]
  106. Jeff Remmers, Moe Villalba, Richard Fisette
    Hierarchical DFT Methodology - A Case Study. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:847-856 [Conf]
  107. C. J. Clark, Mike Ricchetti
    A Code-less BIST Processor for Embedded Test and in-system configuration of Boards and Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:857-866 [Conf]
  108. Liviu Miclea, Enyedi Szilárd, Gavril Toderean, Alfredo Benso, Paolo Prinetto
    Towards Microagent based DBIST/DBISR. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:867-874 [Conf]
  109. David Resnick
    Embedded Test for a new Memory-Card Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:875-882 [Conf]
  110. Fei Su, Krishnendu Chakrabarty
    Concurrent Testing of Droplet-Based Microfluidic Systems for Multiplexed Biomedical Assays. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:883-892 [Conf]
  111. Tiago R. Balen, Antonio Andrade Jr., Florence Azaïs, Michel Renovell, Marcelo Lubaszewski
    Testing the Configurable Analog Blocks of Field Programmable Analog Arrays. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:893-902 [Conf]
  112. Ali Muhtaroglu, Benoit Provost, Tawfik Rahal-Arabi, Greg Taylor
    I/O Self-Leakage Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:903-906 [Conf]
  113. Sreejit Chakravarty, Eric W. Savage, Eric N. Tran
    Defect Coverage Analysis of Partitioned Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:907-915 [Conf]
  114. Laung-Terng Wang, Khader S. Abdel-Hafez, Shianling Wu, Xiaoqing Wen, Hiroshi Furukawa, Fei-Sheng Hsu, Shyh-Horng Lin, Sen-Wei Tsai
    VirtualScan: A New Compressed Scan Technology for Test Cost Reduction. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:916-925 [Conf]
  115. Armin Würtenberger, Christofer S. Tautermann, Sybille Hellebrand
    Data Compression for Multiple Scan Chains Using Dictionaries with Corrections. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:926-935 [Conf]
  116. Kedarnath J. Balakrishnan, Nur A. Touba
    Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:936-944 [Conf]
  117. Baris Arslan, Alex Orailoglu
    Test Cost Reduction Through A Reconfigurable Scan Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:945-952 [Conf]
  118. Christopher S. Taillefer, Gordon W. Roberts
    Reducing Measurement Uncertainty in a DSP-Based Mixed-Signal Test Environment without Increasing Test Time. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:953-962 [Conf]
  119. H. Mattes, Claus Dworski, S. Sattler
    Controlled Sine Wave Fitting for ADC Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:963-971 [Conf]
  120. Hideo Okawara
    Precise Pulse Width Measurement in Write Pre-compensation Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:972-979 [Conf]
  121. José Pineda de Gyvez, Guido Gronthoud, Cristiano Cenci, Martin Posch, Thomas Burger, Manfred Koller
    Power Supply Ramping for Quasi-static Testing of PLLs. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:980-987 [Conf]
  122. Masaji Kume, Katsutoshi Uehara, Minoru Itakura, Hideo Sawamoto, Toru Kobayashi, Masatoshi Hasegawa, Hideki Hayashi
    Programmable At-Speed Array and Functional BIST for Embedded DRAM LSI. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:988-996 [Conf]
  123. Robert C. Aitken
    A Modular Wrapper Enabling High Speed BIST and Repair for Small Wide Memories. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:997-1005 [Conf]
  124. Andrei Pavlov, Manoj Sachdev, José Pineda de Gyvez
    AN SRAM Weak Cell Fault Model and a DFT Technique with a Programmable Detection Threshold. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1006-1015 [Conf]
  125. Osamu Wada, Toshimasa Namekawa, Hiroshi Ito, Atsushi Nakayama, Shuso Fujii
    Post-Packaging Auto Repair Techniques for Fast Row Cycle Embedded DRAM. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1016-1023 [Conf]
  126. Shahdad Irajpour, Sandeep K. Gupta, Melvin A. Breuer
    Timing-Independent Testing of Crosstalk in the Presence of Delay Producing Defects Using Surrogate Fault Models. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1024-1033 [Conf]
  127. Manan Syal, Michael S. Hsiao, Sreejit Chakravarty
    Identifying Untestable Transition Faults in Latch Based Designs with Multiple Clocks. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1034-1043 [Conf]
  128. Quming Zhou, Kartik Mohanram
    Analysis of delay caused by bridging faults in RLC interconnects. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1044-1052 [Conf]
  129. Puneet Gupta, Michael S. Hsiao
    ALAPTF: A new Transition Faultmodel and the ATPG Algorithm. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1053-1060 [Conf]
  130. Charles Njinda
    A Hierarchical DFT Architecture for Chip, Board and System Test/Debug. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1061-1071 [Conf]
  131. Sunil Kalidindi, Nghia Huynh, Bill Eklow, Josh Goldstein
    "Real Life" System Testing of Networking Equipment. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1072-1077 [Conf]
  132. Thomas J. Anderson
    Practical Instrumentation Integration Considerations. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1078-1080 [Conf]
  133. Baolin Deng, Wolfram Glauert
    Formal Description of Test Specification and ATE Architecture for Mixed-Signal Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1081-1090 [Conf]
  134. Martin Zambaldi, Wolfgang Ecker
    How to Bridge the Gap Between Simulationand Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1091-1099 [Conf]
  135. R. Raghuraman
    Simulation Requirements for Vectors in ATE Formats. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1100-1107 [Conf]
  136. Bhaskar Chatterjee, Manoj Sachdev, Ali Keshavarzi
    A DFT Technique for Delay Fault Testability and Diagnostics in 32-Bit High Performance CMOS ALUs. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1108-1117 [Conf]
  137. Ramyanshu Datta, Ravi Gupta, Antony Sebastine, Jacob A. Abraham, Manuel A. d'Abreu
    Tri-Scan: A Novel DFT Technique for CMOS Path Delay Fault Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1118-1127 [Conf]
  138. Kenneth A. Brand, Erik H. Volkerink, Edward J. McCluskey, Subhasish Mitra
    Speed Clustering of Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1128-1137 [Conf]
  139. Dongwoo Hong, Chee-Kian Ong, Kwang-Ting (Tim) Cheng
    BER Estimation for Serial Links Based on Jitter Spectrum and Clock Recovery Characteristics. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1138-1147 [Conf]
  140. Peter M. Levine, Gordon W. Roberts
    A High-Resolution Flash Time-to-Digital Converter and Calibration Scheme. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1148-1157 [Conf]
  141. Mike Li, Andy Martwick, Gerry Talbot, Jan B. Wilstrup
    Transfer Functions For The Reference Clock Jitter In A Serial Link: Theory And Applications. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1158-1167 [Conf]
  142. William R. Mann, Frederick L. Taber, Philip W. Seitzer, Jerry J. Broz
    The Leading Edge of Production Wafer Probe Test Technology. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1168-1195 [Conf]
  143. Qiang Xu, Nicola Nicolici
    Time/Area Tradeoffs in Testing Hierarchical SOCs With Hard Mega-Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1196-1202 [Conf]
  144. Anuja Sehgal, Sandeep Kumar Goel, Erik Jan Marinissen, Krishnendu Chakrabarty
    IEEE P1500-Compliant Test Wrapper Design for Hierarchical Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1203-1212 [Conf]
  145. Kuo-Liang Cheng, Jing-Reng Huang, Chih-Wea Wang, Chih-Yen Lo, Li-Ming Denq, Chih-Tsun Huang, Shin-Wei Hung, Jye-Yuan Lee
    An SOC Test Integration Platform and Its Industrial Realization. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1213-1222 [Conf]
  146. Cecilia Metra, T. M. Mak, Martin Omaña
    Risks Associated with Faults within Test Pattern Compactors and Their Implications on Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1223-1231 [Conf]
  147. Man Wah Chiang, Zeljko Zilic, Jean-Samuel Chenard, Katarzyna Radecka
    Architectures of Increased Availability Wireless Sensor Network Nodes. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1232-1241 [Conf]
  148. Kaijie Wu, Ramesh Karri, Grigori Kuznetsov, Michael Gössel
    Low Cost Concurrent Error Detection for the Advanced Encryption Standard. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1242-1248 [Conf]
  149. Burnell G. West, Michael F. Jones
    Digital Synchronization for Reconfigurable ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1249-1254 [Conf]
  150. Daisuke Watanabe, Masakatsu Suda, Toshiyuki Okayasu
    34.1Gbps Low Jitter, Low BER High-Speed Parallel CMOS Interface for Interconnections in High-Speed Memory Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1255-1262 [Conf]
  151. Maurizio Gavardoni, Michael Jones, Russell Poffenberger, Miguel Conde
    System Monitor for Diagnostic, Calibration and System Configuration. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1263-1268 [Conf]
  152. Bipul Chandra Paul, Cassondra Neau, Kaushik Roy
    Impact of Body Bias on Delay Fault Testing of Nanoscale CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1269-1275 [Conf]
  153. Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura, Ali Keshavarzi
    Within Die Thermal Gradient Impact on Clock-Skew: A New Type of Delay-Fault Mechanism. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1276-1284 [Conf]
  154. Brady Benware, Cam Lu, John Van Slyke, Prabhu Krishnamurthy, Robert Madge, Martin Keim, Mark Kassab, Janusz Rajski
    Affordable and Effective Screening of Delay Defects in ASICs using the Inline Resistance Fault Model. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1285-1294 [Conf]
  155. Andy Kuo, Touraj Farahmand, Nelson Ou, André Ivanov, Sassan Tabatabaei
    Jitter Models and Measurement Methods for High-Speed Serial Interconnects. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1295-1302 [Conf]
  156. Gert Hansel, Korbinian Stieglbauer
    Implementation of an Economic Jitter Compliance Test for a Multi-Gigabit Device on ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1303-1312 [Conf]
  157. Sassan Tabatabaei, Michael Lee, Freddy Ben-Zeev
    Jitter Generation and Measurement for Test of Multigbps Serial IO. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1313-1321 [Conf]
  158. Shalini Ghosh, Nur A. Touba, Sugato Basu
    Reducing Power Consumption in Memory ECC Checkers. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1322-1331 [Conf]
  159. Fulvio Corno, Matteo Sonza Reorda, S. Tosato, F. Esposito
    Evaluating the Effects of Transient Faults on Vehicle Dynamic Performance in Automotive Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1332-1339 [Conf]
  160. Haibo Wang, Suchitra Kulkarni, Spyros Tragoudas
    On-line Testing Field Programmable Analog Array Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1340-1348 [Conf]
  161. Erik Larsson
    Integrating Core Selection in the SOC Test Solution Design-Flow. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1349-1358 [Conf]
  162. Ozgur Sinanoglu, Alex Orailoglu
    Autonomous Yet Deterministic Test of SOC Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1359-1368 [Conf]
  163. Chunsheng Liu, Hamid Sharif, Érika F. Cota, Dhiraj K. Pradhan
    Test Scheduling for Network-on-Chip with BIST and Precedence Constraints. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1369-1378 [Conf]
  164. Hanjun Jiang, Beatriz Olleta, Degang Chen, Randall L. Geiger
    Testing High Resolution ADCs with Low Resolution/Accuracy Deterministic Dynamic Element Matched DACs. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1379-1388 [Conf]
  165. Hak-soo Yu, Hongjoong Shin, Ji Hwan (Paul) Chun, Jacob A. Abraham
    Performance Characterization of Mixed-Signal Circuits Using a Ternary Signal Representation. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1389-1397 [Conf]
  166. Zhongjun Yu, Degang Chen, Randall L. Geiger
    A Computationally Efficient Method for Accurate Spectral Testing without Requiring Coherent Sampling. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1398-1407 [Conf]
  167. Gordon D. Robinson
    Open Architecture ATE: Dream or Reality?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1408- [Conf]
  168. Sergio M. Perez
    The Critical Need For Open ATE Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1409- [Conf]
  169. Burnell G. West
    Open Architecture ATE: Prospects and Problems. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1410- [Conf]
  170. Erik Jan Marinissen
    Security vs. Test Quality: Can We Really Only Have One at a Time? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1411- [Conf]
  171. Hérvé Fleury
    Electronic circuit comprising a secret sub-module. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1412- [Conf]
  172. Stephen Pateras
    Security vs. Test Quality: Fully Embedded Test Approaches Are the Key to Having Both. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1413- [Conf]
  173. Rohit Kapur
    Security vs. Test Quality: Are they mutually exclusive?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1414- [Conf]
  174. Laurent Sourgen
    Testing a secure device: High coverage with very low observability. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1415- [Conf]
  175. Mohamed Hafed
    Glamorous Analog Testability - We Already Test them and Ship Them - So What is the Problem? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1416- [Conf]
  176. Greg Aldrich
    100 DPPM in Nanometer Technology - Is it achievable? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1417- [Conf]
  177. Brady Benware
    Achieving Sub 100 DPPM Defect Levels on VDSM and Nanometer ASICs. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1418- [Conf]
  178. Kenneth M. Butler
    Sure You Can Get to 100 DPPM in Deep Submicron, But It'll Cost Ya. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1419- [Conf]
  179. Phil Nigh
    Achieving Quality Levels of 100dpm: It's possible - but roll up your sleeves and be prepared to do some work.. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1420- [Conf]
  180. Sanjay Sengupta
    Test Strategies for Nanometer Technologies. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1421- [Conf]
  181. Thomas M. Storey
    Testing in a high volume DSM Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1422- [Conf]
  182. Bill Eklow
    What Do You Mean My Board Test Stinks? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1423- [Conf]
  183. Jay J. Nejedlo
    Functional Test Coverage Effectiveness on the Decline. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1424- [Conf]
  184. Rob Jukna
    To Test or To Inspect, What is the Coverage?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1425- [Conf]
  185. Kenneth P. Parker
    Board Test Coverage Needs to be Standardized. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1426- [Conf]
  186. Michael J. Smith
    What do you mean my Board Test stinks?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1427- [Conf]
  187. W. Robert Daasch, Manu Rehani
    Dude! Where's my data? - Cracking Open the Hermetically Sealed Tester. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1428- [Conf]
  188. Phil Nigh
    Redefining ATE: "Data Collection Engines that Drive Yield Learning and Process Optimization". [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1429- [Conf]
  189. Robert Madge
    ATE Value Add through Open Data Collection. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1430- [Conf]
  190. Nilanjan Mukherjee
    Cost of Test - Taking Control. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1431- [Conf]
  191. Mike Tripp
    ITC 2004 Panel: Cost of Test - Taking Control. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1432- [Conf]
  192. Mike Li
    Is "Design to Production" The Ultimate Answer For Jitter, Noise, and BER Challenges For Multi GB/s ICs?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1433- [Conf]
  193. Takahiro J. Yamaguchi
    Loopback or not? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1434- [Conf]
  194. John C. Johnson
    Options for High-Volume Test of Multi-GB/s Ports. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1435- [Conf]
  195. Mike Li
    Will "Heisenberg Uncertainty Principle" Hold For Designing and Testing Multiple GB/s ICs? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1436- [Conf]
  196. Jim Sproch
    A Little DFT Goes a Long Way When Testing Multi-Gb/s I/O Signals. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1437- [Conf]
  197. Yukio Okuda
    Panel Synopsis - Diagnosis Meets Physical Failure Analysis: How Long Can We Succeed? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1438- [Conf]
  198. Thomas Bartenstein
    Panel 9 - Diagnostics vs. Failure Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1439- [Conf]
  199. Edward I. Cole Jr.
    Global Failure Localization: We Have To, But on What and How?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1440- [Conf]
  200. Anne E. Gattiker
    Diagnosis Meets Physical Failure Analysis: How Long can we Succeed? [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1441- [Conf]
  201. Srikanth Venkataraman
    Diagnosis meets Physical Failure Analysis: What is needed to succeed?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1442- [Conf]
  202. Kiyoshi Nikawa
    How long can we succeed using the OBIRCH and its derivatives ?. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1443- [Conf]
  203. Yervant Zorian
    Investment vs. Yield Relationship for Memories in SOC. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1444- [Conf]
  204. Jitendra Khare
    Memory Yield Improvement - SoC Design Perspective. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1445- [Conf]
  205. Joseph A. Reynick
    Investment vs. Yield Relationship for Memories and IP in SOC. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1446- [Conf]
  206. Jun Qian
    Plan Ahead for Yield. [Citation Graph (0, 0)][DBLP]
    ITC, 2004, pp:1447- [Conf]
  207. Mike Tripp, T. M. Mak, Anne Meixner
    Elimination of Traditional Functional Testing of Interface Timings at Intel. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1448-1456 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002